在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3820|回复: 7

[求助] DC综合过程中所遇见的问题

[复制链接]
发表于 2013-10-17 14:10:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 cx60208472 于 2013-10-18 16:12 编辑

版主大大和各位DC达人好,是这样的,我现在有一个工程,是在ISE里编辑的,其中包括一些库文件,
library ieee;use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- pragma translate_off
use std.textio.all;
-- pragma translate_on
library grlib;
use grlib.config_types.all;
use grlib.config.all;
use grlib.stdlib.all;
就是类似grlib这种的,附件里有图片,我直接把这些库中的VHDL文件和工程文件一起读进去,工程文件可以正常读入,但是这些库的VHD文件读进去之后会报错,提示找不到库以及用type定义的类型也不认识,
33: library grlib;
                ^^^^^^
[Warning] Library GRLIB not found

-------------------
    34: use grlib.config_types.all;
                  ^^^^^^^^^^^^^
[Error] CONFIG_TYPES is not a primary unit of library GRLIB

-------------------
    35: use grlib.config.all;
                  ^^^^^^^
[Error] CONFIG is not a primary unit of library GRLIB

-------------------
    36: use grlib.stdlib.all;
                  ^^^^^^^
[Error] STDLIB is not a primary unit of library GRLIB
Compiling Package Declaration AMBA



  38:   constant VENDOR_RESERVED   : amba_vendor_type := 16#00#;  -- Do not use!
                                       ^^^^^^^^^^^^^^^^
[Error] Name AMBA_VENDOR_TYPE is unknown

-------------------
    39:   constant VENDOR_GAISLER    : amba_vendor_type := 16#01#;
                                       ^^^^^^^^^^^^^^^^
[Error] Name AMBA_VENDOR_TYPE is unknown

-------------------
    40:   constant VENDOR_PENDER     : amba_vendor_type := 16#02#;
                                       ^^^^^^^^^^^^^^^^
[Error] Name AMBA_VENDOR_TYPE is unknown


像grlib这种库我不知道怎么在DC中定义,还有个问题就是DC好像对VHDL中的‘1’和‘0’描述不认识,麻烦版主帮忙看看,谢谢!!
QQ截图.png
 楼主| 发表于 2013-10-18 11:05:32 | 显示全部楼层
来人啊,麻烦各位大大帮我看看
发表于 2013-10-19 20:50:09 | 显示全部楼层
你是用vhdl的方式读入的?
发表于 2013-10-21 16:31:06 | 显示全部楼层
按组创建library
 楼主| 发表于 2013-10-23 10:50:11 | 显示全部楼层
回复 4# zoushiyin

你好,能说的详细些么?怎么按组创建library
 楼主| 发表于 2013-10-23 10:51:17 | 显示全部楼层
回复 3# fengzhepianzhou


   是啊,读VHDL的方式没有问题,问题就是VHDL中调用了其他的VHDL文件,自定义的库DC不能识别
发表于 2013-12-18 11:09:55 | 显示全部楼层
你好,你这问题解决了吗?我也遇到你这问题。
发表于 2020-8-21 09:12:38 | 显示全部楼层
这个问题怎么解决啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-25 05:56 , Processed in 0.026154 second(s), 12 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表