在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: Alicezw

[求助] 【已解决】如何处理clock gating出现很大的hold违规?

[复制链接]
 楼主| 发表于 2013-3-26 19:26:46 | 显示全部楼层
回复 2# QQEDA

大侠,谢谢您的解答!但是我还是不太明白。我的timing report中上面的clock的确是rising edge,但是在与门的A2端是falling edge啊,下面的与门A1端也是falling edge。这个不是满足与门的clock gating hold check吗?
实在是搞不懂这个,非常感谢您的帮助!
发表于 2013-3-27 08:55:24 | 显示全部楼层
回复 10# Alicezw


    你这个报告中检查得很奇怪,查查你的约束,是不是对该路径设了“multi cycle”了?
 楼主| 发表于 2013-3-28 09:17:54 | 显示全部楼层
回复 12# sjtusonic

约束上没有设什么multi cycle啊,时钟是这样设置的:
create_clock -period 7.4 -waveform {0 3.7} [get_ports {clk_main_128m}]
create_clock -name "clk_main_64m" -period 14.8 -waveform {3.7 11.1} [get_pins {clk_main_64m_reg/Q}]
不知道是什么问题,非常感谢您的解答!
发表于 2013-3-28 10:08:26 | 显示全部楼层
回复 13# Alicezw


    把你的对同一path的setup检查报告贴上来看看呗?
发表于 2013-3-28 15:11:17 | 显示全部楼层
應該要把combinational gating check arc disable掉
 楼主| 发表于 2013-3-28 16:33:41 | 显示全部楼层
本帖最后由 Alicezw 于 2013-3-28 16:35 编辑

回复 14# sjtusonic

1.jpg
这条路径的setup余量还是蛮大的,但有的路径就是setup和hold不能平衡。
谢谢您的解答!
 楼主| 发表于 2013-3-28 16:40:26 | 显示全部楼层
回复 15# onlykals


请问这是什么意思?这样做的原因是?
谢谢!
发表于 2013-3-28 18:08:10 | 显示全部楼层
回复 17# Alicezw


    你的EN端前面没有latch,估计不是要CG的功能,出现毛刺可以不care,参照2楼~
 楼主| 发表于 2013-3-28 21:43:48 | 显示全部楼层
回复 18# sjtusonic


哦,谢谢您耐心地解答!
发表于 2013-5-2 16:29:32 | 显示全部楼层
回复 1# Alicezw


    是因为与门的输入端A2前面的DFF是使用了 : clock rising edge 触发的, 而与门另外一个输入端, 是相同的clock, 所以hold check一定会是有问题的,
    如果前面的触发器是个 falling edge触发的话, 应该hold比较容易met了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 16:23 , Processed in 0.024276 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表