在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4460|回复: 9

[求助] DPLL 设计

[复制链接]
发表于 2013-1-17 11:49:46 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

请问这样的dpll如何实现,谢谢啦!


The DPLL is used to run the MPEG-2 decoder without an external VCXO. Only a simple 27.0MHz crystal is


used to synchronise the MPEG decoder to the MPEG encoder. Synchronisation is required to avoid buffer


over- or underflow. The digital PLL functionality is realised in order to insert or remove one eighth of the clock


period (DELTA) of the 391.5MHz. A register defines how often one DELTA will be inserted or removed. This


down counter is running at 65.25MHz and, when it reaches zero, one DELTA will be inserted to the 391.5MHz


clock period or one DELTA will be removed from the clock period.

dpll.JPG

发表于 2013-1-17 12:40:40 | 显示全部楼层
回复 1# xieqq


    图画得挺清楚了嘛,DLY单元加上控制逻辑就实现了呗。
发表于 2013-1-17 13:06:13 | 显示全部楼层
没做过....学习学习
 楼主| 发表于 2013-1-17 13:55:07 | 显示全部楼层
回复 2# sjtusonic


    请问版主,
         如何保证DELTA为1/8个391.5mhz的时钟周期呢?
发表于 2013-1-17 16:12:55 | 显示全部楼层
本帖最后由 sjtusonic 于 2013-1-17 16:14 编辑

回复 4# xieqq


    我不是后端版的版主啦,我是来凑热闹学习的啦。

我仔细看了看这个图,下面谈谈我的理解吧,你看看有米有用:
首先假设反向器的延迟与DLY延迟相比较小。

1-8个DLY是组成了两条链,左四个一条,右四个一条,两条链的对应点呈反向关系。
   这样呈反向关系的两条链就能靠输入的0r(0r表示在0个DLY延迟处的rise)事件转换成{0r,1r,1f,2r,2f,3r,3f,4r,4f}这个事件集合
   通过挑选集合中的事件搭建满足各种目标频率的波形

2-如果control logic是静态配置的话,输出DPLLOUT端频率固定,最高可以出一个周期=2*DLY的时钟波形(此时DLY值和DPLLIN的频率需要匹配)

3-看起来还有个down counter,会引入动态配置的可能,图里面还说数到0时要插入一级(使DPLLOUT频率变化?),我没有看过MPEG-2协议,它是需要一个时变的DPLLOUT时钟吗?

4-设计DLY时要使它们的延迟尽量相同,等于变化的梯度值,设计时要考虑工艺漂移,ocv等。

以上就是看到电路的一些直观感觉了,抛砖引玉~
发表于 2013-1-17 16:32:41 | 显示全部楼层
没做过....学习学习
 楼主| 发表于 2013-1-18 11:04:20 | 显示全部楼层
回复 5# sjtusonic


    在MPEG-2中,decoder时,本地时钟与encoder时钟可能有差异,为了使解码时钟与encoder时钟保持同步,常常通过PCR时钟与本地时钟之差,来补偿本地时钟,达到跟踪encoder时钟的效果。

    另外,如果不用DPLL的话,还有其他方法吗?上面的图例来自于一款芯片的datasheet。

    请知道的大牛们说说,谢谢!
发表于 2014-11-19 15:08:03 | 显示全部楼层
learning !!!
发表于 2017-1-4 10:47:01 | 显示全部楼层
学习学习学习
发表于 2019-5-22 13:01:01 | 显示全部楼层
thanks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-29 01:26 , Processed in 0.021696 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表