在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 12093|回复: 32

[求助] PLL不锁定现象描述

[复制链接]
发表于 2012-10-15 18:04:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 zhaoyang1988410 于 2012-10-18 20:51 编辑

未命名.jpg

PLL全部用电路模块搭建之后,PLL无法锁定,VCO控制端波形如上图(无法锁定):

各个模块的参数如下:参考频率5MHZCP电流50uAVCO此时的工作频率为6.1GHZKvco150MHZ/V250MHZ/V之间变化;1/N分频器N=1220;二阶LPFC1=3PFR2=400KC2=12PFR2C2同支路)。

未命名.jpg

使用排除法,首先将VCOBUF用理想Veriloga编写(此时Kvco恒定,设为200MHZ/V),此时的PLL可以锁定,这就可以证明PLL环路是稳定的,各个模块的参数设置应该没问题,可能是VCO的问题;

但是我将VCO用电路级代替,BUF1/N分频器用理想Veriloga代替,PLL还是锁定的,又证明了VCO的电路没问题,这个就与上面的现象矛盾了。

因此我猜测可能是那个BUF的问题,但是我用的这个BUF是结构最简单的两个电阻做负载的差动对构成的,应该对PLL的锁定没有什么影响的。




上面的结论既证明VCO有问题另一个又证明VCO没问题,请问有没有人遇到过这样的问题?难道是BUF的影响吗?但是BUF结构很简单很常见,应该没什么影响的。还是VCOBUF不匹配?(要是有附件的话不要下载。我发错了)


问题补充一下:
下面的tran怎么解释呀?看似锁定,但是并没有锁定,一直上下跳动

未命名.jpg
电荷泵的结构就是池保勇那本红色书中提到的结构,下图:
未命名2.jpg

我前几天仿真的一个波形如下,怎么解释啊?
未命名1.jpg

今天听到一个人说PLL的相位欲度可以通过仿真软件测试出来,用库里面的iprobe来测,请问有谁测过啊?他说手算的一般都很不准确
未命名.jpg
发表于 2012-10-15 19:24:59 | 显示全部楼层
pm 估算了下 连40都木有
发表于 2012-10-15 21:33:02 | 显示全部楼层




所用排除法实际并不成立,没有干扰情况可以锁定并不一定可以证明稳定性没有问题。从现象看起来还是像稳定性有问题,可以简单做个试验,把C2增加一个量级,看看情况有没有好转。
发表于 2012-10-16 11:26:32 | 显示全部楼层
N=1000以上会不会有问题呢?N越大延时越大,jetter也不好弄啊?
发表于 2012-10-16 11:27:47 | 显示全部楼层
N=100~200以上也不多见啊?
实际是这样吗?有知道的说一下呢?
 楼主| 发表于 2012-10-16 12:27:46 | 显示全部楼层
回复 2# daodai


    问一下相位于都怎么算啊?
发表于 2012-10-16 12:41:58 | 显示全部楼层
回复 6# zhaoyang1988410


    在这表述不清 随便看一个研究生论文 都会有详细的公式,一般 零点是截止频率的1/4 , 极点是截止频率四倍
发表于 2012-10-17 14:22:17 | 显示全部楼层
本帖最后由 smelly 于 2012-10-17 14:24 编辑

回复 1# zhaoyang1988410


    先不管你的bug具体的原因,就环路参数来看,根据计算得知相位裕度小于30。这样的设计是不对的,但相位裕度不一定是造成不锁定的原因。你把CP中,开关的控制信号,打出来看一下
 楼主| 发表于 2012-10-17 23:25:44 | 显示全部楼层
回复 8# smelly


    你想看哪个状态下的CP的控制信号啊?波形密密麻麻的看不清,我可以给你放大截图
 楼主| 发表于 2012-10-17 23:27:45 | 显示全部楼层


所用排除法实际并不成立,没有干扰情况可以锁定并不一定可以证明稳定性没有问题。从现象看起来还是像 ...
ygchen2 发表于 2012-10-15 21:33




    我试了,还是没有锁定,会不会是VCO的增益变化太大了啊?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-29 03:29 , Processed in 0.026518 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表