在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 15333|回复: 20

[求助] 求助:关于vcs跑uvm,遇上timeout的问题

[复制链接]
发表于 2012-6-6 14:16:00 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位:
      最近在学UVM,按照书上的例子弄了个简单的跑一下,结果使用vcs的时候,每次都是到9200ns的时候自动停止了,而使用irun跑的时候不会这样,有没有人遇到过这种问题呢?我实在是丈二和尚摸不着头脑呀。
      VCS的信息:
UVM_INFO:@ 0:reporter [RNTST] Running test my_case0...
UVM_WARNING @ 0: uvm_test_top.env [UVM_DEPRECATED] build()/build_phase() has been called explicitly,outside of the phasing system. This usage of build is deprecated and may lead to unexpected behavior.
now trans num is   1
hahaha ........ Compare SUCCESSFULLY
UVM_ERROR /opt1/eda_tools/synopsys/vcsmx_vF/etc/uvm-1.1/base/uvm_phase.svh(1203) @9200:reporter [PH_TIMEOUT] Default phase timeout of 9200 hit. All processes are waiting,indicationg a probable testbench issue.Phase 'main' ready to end
UVM_WARNING @ 9200 : main [OBJTN_CLEAR] Object 'uvm.uvm_sched.main' cleared objection counts for main
      而用nc的irun跑出的信息如下:
UVM_INFO @ 0: reporter [RNTST] Running test my_case0...
UVM_WARNING @ 0: uvm_test_top.env [UVM_DEPRECATED] build()/build_phase() has been called explicitly,outside of the phasing system .This usage of build is deprecated and may lead to unexpected behavior.
now trans num is     1
hahaha ........ Compare SUCCESSFULLY
now trans num is     2
hahaha ........ Compare SUCCESSFULLY
now trans num is     3
hahaha ........ Compare SUCCESSFULLY
now trans num is     4
hahaha ........ Compare SUCCESSFULLY
now trans num is     5
hahaha ........ Compare SUCCESSFULLY
now trans num is     6
hahaha ........ Compare SUCCESSFULLY
now trans num is     7
hahaha ........ Compare SUCCESSFULLY
now trans num is     8
hahaha ........ Compare SUCCESSFULLY
now trans num is     9
hahaha ........ Compare SUCCESSFULLY
now trans num is     10
hahaha ........ Compare SUCCESSFULLY
.....
.....
Simulation completed via $finish(1) at time 141290 NS + 79
 楼主| 发表于 2012-6-6 14:32:26 | 显示全部楼层
哦。不好意思,刚才找到了暂时解决的办法,在执行simv时候加上 +UVM_TIMEOUT=1000000就能全跑出来了。可是各位有没有更好的办法呀,这还是一个很小的仿真程序,要是大点的都不知道要设多大的TIMEOUT了。
发表于 2012-6-6 23:35:48 | 显示全部楼层
这个应该和软件的设置文件里面的timeout默认值有关系,看你加的参数就知道了,不过这两个软件的设置文件是什么我不太清楚,你应该找到以后把里面的timeout值修改到目前这个10000000,应该就能一劳永逸了。
发表于 2013-6-6 10:22:51 | 显示全部楼层
兄弟,你肯定是某个phase没有drop,所以导致超时
发表于 2013-6-6 12:31:20 | 显示全部楼层
default应该是9200s,已经很长了,你怎么是9200ns?
发表于 2014-3-25 10:55:09 | 显示全部楼层
回复 1# oscillator_cn1


   楼主的问题如何解决的?解决了吗?
发表于 2014-3-25 10:55:53 | 显示全部楼层
遇到了同样的问题,讨论一下哈。
发表于 2014-3-25 10:59:55 | 显示全部楼层
我是在VCS调用verdi的时候 编译能过,但是仿真时报这个错误
发表于 2014-5-30 17:51:36 | 显示全部楼层
回复 1# oscillator_cn1


    你的timescale没设,
     VCS  -timescale=1ns/1ps ....
发表于 2014-6-3 21:59:20 | 显示全部楼层
did it remained suolveless?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 20:26 , Processed in 0.032017 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表