在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 28981|回复: 67

【求助】时钟数据恢复电路CDR的FPGA实现

[复制链接]
发表于 2009-3-19 08:39:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
有没有用verilog hdl实现时钟数据恢复电路的,如果有的话能否把源码发给我,在线等,谢谢!
cheng520song@163.com
发表于 2009-3-19 09:02:16 | 显示全部楼层
开口就要源码....
发表于 2009-3-20 11:26:26 | 显示全部楼层
opencore的USB 1.1 IP有你要的>
 楼主| 发表于 2009-3-21 19:24:26 | 显示全部楼层
谢谢回复,可是我找了IP核,没发现该IP核有CDR模块。。。
发表于 2009-3-21 20:42:11 | 显示全部楼层
XILINX有这方面的应用文档,例如XAPP224,讲得还是比较清楚的。

www.losang.cn
发表于 2009-3-22 20:52:38 | 显示全部楼层
相信我他有,你可以看SIE相關源碼,它適用數位電路來處理CDR。
发表于 2009-3-23 14:15:26 | 显示全部楼层
一篇paper,希望有幫助。

A_Study_on_Fully_Digital_Clock_Data_Recovery_Utilizing_Time_2_Digital_Converter.pdf

318.34 KB, 下载次数: 901 , 下载积分: 资产 -2 信元, 下载支出 2 信元

 楼主| 发表于 2009-3-24 12:39:48 | 显示全部楼层
发表于 2009-3-24 23:14:48 | 显示全部楼层
MAXIM好像有相关FPGA的代码~~
发表于 2009-3-25 10:32:12 | 显示全部楼层


原帖由 losang 于 2009-3-21 20:42 发表
XILINX有这方面的应用文档,例如XAPP224,讲得还是比较清楚的。

www.losang.cn

谢谢,这些xilinx的参考方案已经做过了,我还想要点别的公司的参考设计。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-17 15:01 , Processed in 0.042656 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表