在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: cheng520so

【求助】时钟数据恢复电路CDR的FPGA实现

[复制链接]
发表于 2009-10-8 12:11:44 | 显示全部楼层
XILINX有这方面的应用文档,讲得还是比较清楚的
发表于 2009-10-10 14:10:16 | 显示全部楼层
时钟电路很重要
发表于 2010-1-26 22:41:22 | 显示全部楼层
看不了
发表于 2010-1-28 07:49:23 | 显示全部楼层
Thanks
发表于 2010-1-30 21:01:34 | 显示全部楼层
谢谢了
发表于 2010-1-31 09:20:34 | 显示全部楼层
站内牛人多啊
发表于 2010-2-1 08:56:28 | 显示全部楼层
take a look, still not catch the concept yet
发表于 2010-2-4 23:39:15 | 显示全部楼层
用逻辑做CDR,能做多少bps?
发表于 2010-4-12 14:39:35 | 显示全部楼层
7# jerryyau
....kankan
发表于 2010-4-13 19:01:36 | 显示全部楼层
这个顶下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 19:18 , Processed in 0.027070 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表