在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 0|主题: 6528|排名: 15 

[原创] Flash的Block Erase Command的地址信息代表的是字节吗? 误入百花深处 2024-1-16 2255 误入百花深处 2024-1-17 12:34
[原创] lms算法 attachment wy12 2024-1-14 3424 limeng961010 前天 11:20
[求助] 请教一个后仿真SDF反标的问题 kejiazhu 2024-1-12 1377 gerry1812 2024-2-3 14:43
[求助] 寄存器模型配置DUT寄存器问题,谢谢大家 新人帖 起个名字好难啊 2024-1-10 2349 851018986 2024-1-17 10:53
[原创] Verilog/SystemVerilog Setup in Vim 新人帖 AlvinRolling 2024-1-10 2284 yuanpin318 2024-1-18 20:19
[求助] 新思vip-ahb中打印的激励信息如何屏蔽? attach_img became_an_icer 2024-1-10 5305 erwang 2024-1-10 22:57
悬赏 [求助] VCLP读取网表时报错如何解决 - [悬赏 36 信元资产] SGengiant 2024-1-9 0361 SGengiant 2024-1-9 17:23
[求助] xcelium仿真怎么使用vcs加密的代码? zhiwenhao 2024-1-8 3315 zhiwenhao 2024-1-9 16:32
[求助] 新人求助,想要使用dve查看波形时为什么选择不了信号? icstudywsq 2024-1-7 1294 AlvinRolling 2024-1-11 19:34
[原创] 有没有人一起拼路科v3的课? BananaSuper 2024-1-5 7691 Johnmc104 2024-1-18 16:06
[求助] fsdb怎么带有libcell中的信号波形 花伴星空 2024-1-5 5345 idealm 2024-1-29 16:40
[求助] vcs编译命令——时间参数模型 BellaYang 2024-1-5 2455 BellaYang 2024-1-8 09:05
[原创] dfe paper attachment wy12 2024-1-3 2432 dcircuit 2024-1-4 20:54
悬赏 [求助] virtuoso ADE环境下跑带system verilog代码的数模混仿 - [悬赏 500 信元资产] xinhunlei 2024-1-3 1484 孙莱维 2024-1-4 13:50
[求助] 求助各位大神吗?systemverilog非阻塞赋值问题 eason_yang 2024-1-3 2262 飞翔的马甲 2024-1-4 10:52
[求助] s家的test suite和普通的vip有什么区别 masscqz 2024-1-2 4421 saipolo 2024-1-29 16:59
[求助] fork-join语句与$finish的使用问题 icstudywsq 2024-1-1 7400 unsinkableFF 2024-1-4 09:46
[求助] 为什么使用vcs仿真指定TESTNAME不管用? 新人帖 icstudywsq 2023-12-31 4389 wupeijing654 2024-1-5 09:39
[求助] 请问为什么VCS不能设置断点 attach_img 天蝎的尾巴i 2023-12-30 2455 天蝎的尾巴i 2023-12-30 21:50
[求助] 求助vcs2021安装包 新人帖 wupeijing654 2023-12-28 2372 wupeijing654 2023-12-30 19:38
悬赏 [求助] Cadence VIP eth有支持思科QSGMIII spec吗? 新人帖 - [悬赏 10 信元资产] clivia.cai 2023-12-28 2410 clivia.cai 2024-1-4 14:12
悬赏 [求助] 使用vcs进行PA仿真时出错【XMRE】 - [悬赏 36 信元资产] SGengiant 2023-12-28 0346 SGengiant 2023-12-28 16:07
[求助] VCS仿真中途内置断言报错 attach_img 解構 2023-12-28 2407 解構 2023-12-29 13:27
[求助] uvm_re_match这个正则匹配到底是怎么正则呢,匹配到一部分字符串,算成功匹配了么 枫舞 2023-12-27 0377 枫舞 2023-12-27 19:58
[求助] 收集coverage排除某些common cell不成功 viviy 2023-12-26 0264 viviy 2023-12-26 21:42
[求助] 断言覆盖率的收集 Distent 2023-12-26 3407 shaoqingtju 2023-12-29 10:38
[原创] iverilog对sv语法支持真的很差 erwang 2023-12-25 4344 ustszl 2024-1-11 15:36
[求助] vcs 反标率 fangwang85 2023-12-25 1515 ydch1014 2023-12-26 16:11
[求助] 怎么把makefile中的变量传输到tcl脚本中 新人帖 年轻的国王 2023-12-22 3445 年轻的国王 2024-3-8 11:13
[求助] 用于全扫描测试的测试向量在modelsim和vcs通过了仿真,但是在tetramax显示有很多错误 attach_img SICETN 2023-12-22 2215 SICETN 2023-12-23 14:24
[求助] 在if begin end块里的automatic变量是否在执行结束后就被释放  ...2 zhangdeshuai 2023-12-22 10510 zhangdeshuai 2024-1-2 15:12
[讨论] sv或者UVM如何与python进行交互  ...2 芯游天下 2023-12-22 14844 nativeda230 2024-1-6 21:09
[求助] 关于寄存器X态传播问题 又来长脑了 2023-12-21 2399 kuaixuebao 2023-12-27 15:46
[求助] makefile 调用VCS问题 fygtu 2023-12-20 3398 fygtu 2024-1-3 10:54
[求助] 验证新人,求助一下怎么用makefile做回归测试,就是一次性跑很多case,该怎么写 新人帖 富士山下fz 2023-12-19 4613 popkok 2024-1-22 14:57
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 04:22 , Processed in 0.035200 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块