在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 395|回复: 4

[求助] 为什么使用vcs仿真指定TESTNAME不管用?

[复制链接]
发表于 2023-12-31 16:06:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

                               
登录/注册后可看大图



                               
登录/注册后可看大图


                               
登录/注册后可看大图


想问问为什么指定testname后不管用?
发表于 2024-1-1 18:39:00 | 显示全部楼层
应该在./simv后面加上选项+TESTNAME=XXX选项
 楼主| 发表于 2024-1-2 09:18:19 | 显示全部楼层


liuguangxi 发表于 2024-1-1 18:39
应该在./simv后面加上选项+TESTNAME=XXX选项


谢谢!已解决!顺便请问一般是在vcs编译时添加随机数种子+ntb_random_seed=xx还是在./simv后面添加随机数种子比较好?

发表于 2024-1-4 14:01:25 | 显示全部楼层


icstudywsq 发表于 2024-1-2 09:18
谢谢!已解决!顺便请问一般是在vcs编译时添加随机数种子+ntb_random_seed=xx还是在./simv后面添加随机数 ...




種子是./simv的選項,在./simv後加
发表于 2024-1-5 09:39:49 | 显示全部楼层
楼主,用的是VCS哪个版本,DVE现在是不是要单独安装了,能发我一个吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 03:13 , Processed in 0.033338 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表