在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: zxlqh

[求助] 有偿求助tsmc28nm工艺库

[复制链接]
 楼主| 发表于 2025-6-10 14:26:08 | 显示全部楼层


puxiancheng 发表于 2025-6-10 14:11
对。memory放到link library。target需要standcell的library


明白了,感谢您。看来是这个资料少了一些内容。。。


超级福利!tsmc28nm完整工艺库免费分享

请问您有standcell库,可以分享嘛~感激感激~~
 楼主| 发表于 2025-6-10 16:03:26 | 显示全部楼层


puxiancheng 发表于 2025-6-10 15:32
这个资源里面就有啊。logic目录下面就是standcell


我用的
logic -> tcbn28hpcplusbwp40p140cgcw_130a -> AN61001_20180123 -> tcbn28hpcplusbwp40p140cgcw_130a_nldm -> tcbn28hpcplusbwp40p140cgcwffg0p99v0c.db

这个库,尝试综合了一个简单加法器,但是依然报错,缺少与、非门:


3.png

4.png

5.png

报这个错误:

6.png

似乎这个standcell,不是正确的~

 楼主| 发表于 2025-6-10 16:37:53 | 显示全部楼层


puxiancheng 发表于 2025-6-10 10:44
这个资源里面就有啊。logic目录下面就是standcell


还是没找到,logic文件夹下到底哪个是standcell,还请指点一下~~感谢感谢~
发表于 2025-6-10 16:57:32 | 显示全部楼层
你就用这个tcbn28hpcplusbwp7t40p140_180b 目录下的nldm。确认target_library设置对了么
 楼主| 发表于 2025-6-10 18:16:26 | 显示全部楼层


puxiancheng 发表于 2025-6-10 16:57
你就用这个tcbn28hpcplusbwp7t40p140_180b 目录下的nldm。确认target_library设置对了么


感谢感谢~~这下终于弄好了,例化MC2生成的sram也综合过了。感谢指点~~



附上dc_shell的命令(DC综合MC2生成的sram),以供与我有一样问题的同学参考:


define_design_lib work -path ./work

set_app_var target_library ../tsmc28/logic/tcbn28hpcplusbwp7t40p140_180b/AN61001_20180509/tcbn28hpcplusbwp7t40p140_180a_nldm/TSMCHOME/digital/Front_End/timing_power_noise/NLDM/tcbn28hpcplusbwp7t40p140_180a/tcbn28hpcplusbwp7t40p140ffg0p88v0c.db

set_app_var link_library "* ./library/example.db ../tsmc28/logic/tcbn28hpcplusbwp7t40p140_180b/AN61001_20180509/tcbn28hpcplusbwp7t40p140_180a_nldm/TSMCHOME/digital/Front_End/timing_power_noise/NLDM/tcbn28hpcplusbwp7t40p140_180a/tcbn28hpcplusbwp7t40p140ffg0p88v0c.db"

read_verilog ./verilog//my_design.v
link
check_design
create_clock -period 10 [get_ports clk]
set_input_delay -max 3 -clock clk [remove_from_collection [all_inputs] clk]
set_output_delay -max 2.5 -clock clk [all_outputs]
set_input_transition 0.15 [all_inputs]
set_input_delay -max 3 -clock clk [get_pins u_sram/QA]
set_output_delay -max 2.5 -clock clk [get_ports q_a]
check_design
compile
report_clock
report_timing


其中,“./verilog//my_design.v” 是例化sram的.v
发表于 2025-6-11 09:21:18 | 显示全部楼层


zxlqh 发表于 2025-6-10 18:16
感谢感谢~~这下终于弄好了,例化MC2生成的sram也综合过了。感谢指点~~


link_library 和target_library 设置为同一个db库?
发表于 前天 19:41 | 显示全部楼层


zxlqh 发表于 2025-6-10 18:16
感谢感谢~~这下终于弄好了,例化MC2生成的sram也综合过了。感谢指点~~


您好,请问一下你最后是怎么弄好的
发表于 前天 21:30 | 显示全部楼层


puxiancheng 发表于 2025-6-10 16:57
你就用这个tcbn28hpcplusbwp7t40p140_180b 目录下的nldm。确认target_library设置对了么


大哥,一样的问题,你知道他错在哪了吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-7-12 18:24 , Processed in 0.023390 second(s), 7 queries , Gzip On, MemCached On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表