在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 277|回复: 6

[求助] icc2读verillog报错;;急!!求大佬帮忙

[复制链接]
发表于 2024-7-10 18:29:08 | 显示全部楼层 |阅读模式
100资产
我在ICC2读这个verilog文件的时候read_verilog -top $design "./gate/${design}.v",会报syntax error这个错误但是我在dc里面读同样的verilog的时候,并用analyze命令分析 .v文件后,代码是没有error出现的,只有warning,但是在icc2会报语法错误,我看了错误报错的那一行语句是reg [7:0]a;,所以没有我认为不是我verilog文件的问题,所以我想请问是什么问题导致会出现这个错误,请高手指点

 楼主| 发表于 2024-7-10 21:38:12 | 显示全部楼层
代码部分在这
714e06faf9b82e1ee162c35a533f227.png
发表于 2024-7-11 09:18:35 | 显示全部楼层
少了一个空格 reg [7:0] a
 楼主| 发表于 2024-7-11 10:17:06 | 显示全部楼层


king-wa 发表于 2024-7-11 09:18
少了一个空格 reg [7:0] a



                               
登录/注册后可看大图

                               
登录/注册后可看大图
谢谢答复,我具体代码是这样的,语法上应该没有错的,在dc的时候analyze没有报错
 楼主| 发表于 2024-7-11 10:42:06 | 显示全部楼层
已解决,应该用dc编译后的,v文件
 楼主| 发表于 2024-7-11 10:47:14 | 显示全部楼层
但是出现了新的问题
B7EE4B80-5B1D-4738-8C3B-92B4FF10BE60.png
 楼主| 发表于 2024-7-11 10:55:59 | 显示全部楼层
为什么会提示打开不了,我明明给了777的权限
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-27 10:19 , Processed in 0.027731 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表