在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 454|回复: 7

[求助] 网表仿真,cell的表现不符合真值表描述,求助!!!

[复制链接]
发表于 2024-6-19 10:28:19 | 显示全部楼层 |阅读模式
500资产
单纯网表仿真,不带sdf。cell表现出不符合预期的输入输出。  
按照真值表描述S = 0  X应该等于A0,但是X却等于不定态了。
help,help,help!
微信图片_20240619102157.png

最佳答案

查看完整内容

实际电路中,没有 "X" 这个状态,真值表中的 "?" 不包含 "X" 这个状态,仅表示无所谓 0 或 1 而已。 需要仿真正确,首先要排除输入为信号为 “X” 状态
 楼主| 发表于 2024-6-21 13:46:50 | 显示全部楼层
这个问题解决了。仿真因为module上例化的时候添加了延时。编译的时候需要添加+delayzeromode选项
发表于 2024-6-19 10:28:20 | 显示全部楼层
实际电路中,没有 "X" 这个状态,真值表中的 "?" 不包含 "X" 这个状态,仅表示无所谓 0  或 1 而已。
需要仿真正确,首先要排除输入为信号为 “X” 状态
 楼主| 发表于 2024-6-19 10:29:10 | 显示全部楼层
单纯网表仿真,不带sdf。cell表现出不符合预期的输入输出。   按照真值表描述S = 0  X应该等于A0,但是X却等于不定态了。 help,help,help!
 楼主| 发表于 2024-6-21 13:47:57 | 显示全部楼层


harry_hust 发表于 2024-6-19 14:06
实际电路中,没有 "X" 这个状态,真值表中的 "?" 不包含 "X" 这个状态,仅表示无所谓 0  或 1 而已。
需要 ...


刚刚上电0ns的时候确实会有X,重要的是reset没有让x恢复。
 楼主| 发表于 2024-6-21 13:51:31 | 显示全部楼层
本帖最后由 puerlike 于 2024-6-21 13:55 编辑

库的问题。不支持不带sdf的仿真。
 楼主| 发表于 2024-6-21 13:55:16 | 显示全部楼层


harry_hust 发表于 2024-6-19 10:28
实际电路中,没有 "X" 这个状态,真值表中的 "?" 不包含 "X" 这个状态,仅表示无所谓 0  或 1 而已。
需要 ...


没有其他人回答,分给你了。
发表于 2024-6-24 10:06:46 | 显示全部楼层


puerlike 发表于 2024-6-21 13:55
没有其他人回答,分给你了。



您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 08:42 , Processed in 0.019777 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表