在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 241|回复: 1

[原创] 求助DC create_generated_clock

[复制链接]
发表于 2024-4-8 08:25:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在写DC 约束中,compile 过后,会报出:


Warning: A non-unate path in clock network for clock 'LRCK'
from pin 'U_I2S_RX_INF/U118/ZN' is detected. (TIM-052)
Warning: sense defined on pin 'U_I2S_RX_INF/U32/ZN' cannot be honored for clock 'LRCK'.  (TIM-190)
Warning: sense defined on pin 'U_I2S_RX_INF/U31/ZN' cannot be honored for clock 'LRCK'.  (TIM-190)
Warning: A non-unate path in clock network for clock 'IrClk_d1'
from pin 'U_I2S_RX_INF/U118/ZN' is detected. (TIM-052)
Warning: sense defined on pin 'U_I2S_RX_INF/U32/ZN' cannot be honored for clock 'IrClk_d1'.  (TIM-190)
Warning: sense defined on pin 'U_I2S_RX_INF/U31/ZN' cannot be honored for clock 'IrClk_d1'.  (TIM-190)

这些错误应该如何处理,
本人是新手,碰到这些节点的warning,认为是自己的约束的timing path 不完善,想请教一下,create_generated_clock是什么场景需要用,是说CLK信号走到MUX ,DFF,都要进行解释声明吗?怎么避免这些warning?
 楼主| 发表于 2024-4-8 08:37:35 | 显示全部楼层
自己支持一下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 16:47 , Processed in 0.016410 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表