在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 270|回复: 4

[求助] 如何在tessent shell中调用dc命令

[复制链接]
发表于 2024-3-28 09:38:58 | 显示全部楼层 |阅读模式
300资产
请教,如何在tessent工具给网表插入mbist电路后的综合阶段,设置综合选项,使插入的clock gating cell为ICG,而不是由latch加上or and门。

情况具体如下:插入mbist的脚本最后综合的命令如下:

  • set_run_synthesis_options dc_shell -startup_file ./rm_setup.tcl -compilation_options {-scan -gate_clock}
  • report_run_synthesis_options
  • run_synthesis


该命令下的综合结果发现clock gating cell依然是latch+组合逻辑;并且在脚本read_cell_library的时候,就已经设置了DFT_cell_selection里的clock_gating_and和clock_gating_or;还有rm_setup.tcl中已经增加了
set_clock_gating_style -sequential_latch cell \
                                 -positive_edge_logic {intergrated} \
                                 -negetive_edge_logic {intergrated}命令和选项,但无济于事

看了tessent_shell里set_run_synthesis_options命令的说明,在该命令后接着增加
-pre_compilation_commands set_clock_gating_style -sequential_latch cell \
                                                                          -positive_edge_logic {intergrated} \
                                                                          -sequential_latch cell \
                                                                          -negetive_edge_logic {intergrated}这些命令后也没有用,综合log里会报告说“unknow options -sequential_latch cell”

发表于 2024-4-2 17:48:07 | 显示全部楼层
我试了一下,正常run_synthesis 也会用latch,当然也有ICG 。如果实在跑不通,单独跑dc呢?
 楼主| 发表于 2024-4-7 09:48:18 | 显示全部楼层


fangwang85 发表于 2024-4-2 17:48
我试了一下,正常run_synthesis 也会用latch,当然也有ICG 。如果实在跑不通,单独跑dc呢? ...


我用的是门级网表,在gate leve flow里可以在插入mbist后再单独跑到dc里综合吗?烦请赐教
发表于 2024-4-7 09:51:45 | 显示全部楼层


DFTbin 发表于 2024-4-7 09:48
我用的是门级网表,在gate leve flow里可以在插入mbist后再单独跑到dc里综合吗?烦请赐教
...


客气了,赐教谈不上,我也新手。 write_desing_inport_script dc_syn.tcl 写出了综合脚本,在dc重新搭建环境跑,应该是可以的
发表于 2024-4-13 16:27:33 | 显示全部楼层
你应该把整个set_clock_gating_Cell命令用{}括起来,让tessent识别,具体看tessent调用dc log
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 15:33 , Processed in 0.020206 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表