在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 203|回复: 2

[求助] DCread verilog文件

[复制链接]
发表于 2024-3-7 12:21:43 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

                               
登录/注册后可看大图


在DC中读取这个代码的时候,并不报错

                               
登录/注册后可看大图

但是DC没有显示,这是为啥
发表于 2024-3-8 09:58:21 | 显示全部楼层
NAND的库或者模型读入了么?
 楼主| 发表于 2024-3-8 23:27:19 | 显示全部楼层


zhiwenhao 发表于 2024-3-8 09:58
NAND的库或者模型读入了么?



我直接读取的文件,NAND的模型不是可以直接识别的吗?


                               
登录/注册后可看大图


我读取这个文件的时候就有显示,我也没有读取NAND的模型和库啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 11:47 , Processed in 0.042135 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表