在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 268|回复: 4

[原创] UVM_FATAL 报错

[复制链接]
发表于 2024-3-5 10:00:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在UVM 验证环境中,connect_phase中进行了sequencer连接,virtual sequencer中也有相应的配置;

但没有启动sequence;
body中使用uvm_do_with()发送sequence激励时,UVM环境报错
UVM_FATAL @ 1000000000: reporter@@uart_seq [SEQ] neither the item's sequencer nor dedicated sequencer has been supplied to start item in uart_seq
发表于 2024-3-6 18:32:51 | 显示全部楼层
要么你就是sequence里面没有declare_p_sequencer?要么你试试用uvm_do_on_with?还需要一些具体代码作为判断依据。
 楼主| 发表于 2024-3-7 09:20:46 | 显示全部楼层
嗯嗯,谢谢楼主的答疑,环境中多agent,使用uvm_do,没有使用uvm_do_on,发sequence时,没有指定到对应的sequencer上
发表于 2024-3-7 09:35:06 | 显示全部楼层
`uvm_create_on(xxx_trans, xxx_sqr)
`uvm_rand_send_with(xxx_trans, {xxx_constraint; })
 楼主| 发表于 2024-3-7 10:40:19 | 显示全部楼层


saipolo 发表于 2024-3-7 09:35
`uvm_create_on(xxx_trans, xxx_sqr)
`uvm_rand_send_with(xxx_trans, {xxx_constraint; })


学习一下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 12:03 , Processed in 0.022634 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表