在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 230|回复: 4

[求助] innovus做cts,然后clock菜单下的Generate clock spec是空的

[复制链接]
发表于 2024-2-21 02:07:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

                               
登录/注册后可看大图


怎么出现下面的效果呢?

                               
登录/注册后可看大图



发表于 2024-2-21 11:05:44 | 显示全部楼层
首先确保你读了lib,然后 试试这样去添加
屏幕截图 2024-02-21 110516.jpg
发表于 2024-2-21 13:53:38 | 显示全部楼层
你这个是没有设置CTS lib cell呀
 楼主| 发表于 2024-2-22 09:33:21 | 显示全部楼层
本帖最后由 uzljuljz 于 2024-2-23 09:00 编辑


卷芯菜 发表于 2024-2-21 11:05
首先确保你读了lib,然后 试试这样去添加


我在终端中敲入了这个测试指令,然后回车

                               
登录/注册后可看大图

然后打开这个界面,还是空的,可能是什么原因呢?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 15:23 , Processed in 0.042639 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表