在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 174|回复: 0

[求助] NC后仿$setuphold提示

[复制链接]
发表于 2024-2-19 10:46:27 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人在用icc导出的.v和sdf文件反标后仿的时候总是遇到$setuphold提示的违例:   
Warning!  Timing violation
           $setuphold<hold>( posedge CLK &&& RN_dly:60680 PS, posedge D:60680 PS,  0.010 : 10 PS,  0.010 : 10 PS );
            File: ../tb/lib/bicmos8hp_dig.v, line = 4243
           Scope: tb_drv_top.DRV_1.u_drv_digtop.u_spi_i2c_top.u_i2c_engine.shift_reg_reg_3_
            Time: 60680 PS

这个提示到底是hold time违例吗,0.010 : 10 PS,  0.010 : 10 PS是什么意思?


一直有这个错误提示,nc后仿总是失败。。。求大神指导阿。。。


您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 11:12 , Processed in 0.015611 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表