在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 562|回复: 9

[求助] ccopt_design求助

[复制链接]
发表于 2024-1-2 14:09:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人在学习innovus过程中遇到了一点问题,Cannot run CCOpt as no clock trees are defined 如图。点击timing——tree——debug也一直提示要我create_ccopt_clock_tree_spec。在此之前,我没遇到此问题,我成功走通过一遍流程,在virtuso中lvs时发现问题,决定重新做一遍,就遇到了上述问题。尝试多种方法无法解决。甚至删除了所有配置文件,重新弄一边还是有同样的问题。本人的MMMC文件展示如下。希望各位大神不吝赐教
1704175525111.png
1704175579132.png
发表于 2024-1-2 14:51:04 | 显示全部楼层
看看你的spec文件
发表于 2024-1-2 14:56:10 | 显示全部楼层
你把命令拆开来跑一下试试呢
create_ccopt_clock_tree_spec -file top.spec
source top.spec
ccopt_design -cts
setOptMode -maxDensity 0.9 ...
optDesign -poetCTS
 楼主| 发表于 2024-1-2 15:00:50 | 显示全部楼层


卷芯菜 发表于 2024-1-2 14:51
看看你的spec文件


应该是这的问题,但我不知道怎么改了
1704178800451.png
发表于 2024-1-4 09:02:32 | 显示全部楼层
看看你的sdc,感觉是sdc里没有create clock
发表于 2024-1-4 10:40:13 | 显示全部楼层
赞同5楼,根据IMPCCOPT-2004所报内容,no clock tree are defined;检查sdc文件
 楼主| 发表于 2024-1-4 14:44:33 | 显示全部楼层


ywwuyifan 发表于 2024-1-4 09:02
看看你的sdc,感觉是sdc里没有create clock


创建了
1704350620015.png
发表于 2024-1-5 08:52:21 | 显示全部楼层


你这create clock没有定在pin或者port上啊
 楼主| 发表于 2024-1-8 14:44:22 | 显示全部楼层


ywwuyifan 发表于 2024-1-5 08:52
你这create clock没有定在pin或者port上啊


怎么定啊  求指点
发表于 2024-1-9 08:57:01 | 显示全部楼层


csfr 发表于 2024-1-8 14:44
怎么定啊  求指点


create_clock -name i_SPI_Clk -period 4 -waveform {0 2} [get_ports i_SPI_Clk]

下面那句set_input_delay [get_ports i_SPI_Clk]应该是错的,如果要设定到clock port的delay好像是set_clock_latency -source xx.xx [get_clocks ]
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 00:08 , Processed in 0.028252 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表