在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 975|回复: 7

[求助] PT时序违例

[复制链接]
发表于 2023-4-15 20:18:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大家好,最近在做一个项目,主时钟是400M,然后生成了一个分频时钟clk2,在布局布线环节没有时序违例,但是在pt的时候出现了时序违例,并且感觉很不正常,麻烦大家帮忙分析一下,十分感谢!

 楼主| 发表于 2023-4-15 20:20:37 | 显示全部楼层
这是出现违例的报告
C:\Users\zzz\Desktop\微信图片_20230415201923
 楼主| 发表于 2023-4-15 20:25:44 | 显示全部楼层
  Startpoint: seed[13] (input port clocked by clk2)
  Endpoint: RAND_CORE_U16_RAND_CODE_REG_13_
               (rising edge-triggered data to data check clocked by clk2)
  Path Group: clk2
  Path Type: max
  Max Data Paths Derating Factor  : 1.00
  Min Clock Paths Derating Factor : 0.90
  Max Clock Paths Derating Factor : 1.00

  Point                                                   Incr       Path
  ------------------------------------------------------------------------------
  clock clk2 (rise edge)                                  0.00       0.00
  clock network delay (propagated)                        0.84       0.84
  input external delay                                    0.80       1.64 f
  seed[13] (in)                                           0.05 &     1.69 f
  U1676/Z (NID1)                                          0.19 &     1.87 f
  U1699/Z (DL200)                                         1.76 &     3.63 f
  U772/Z (ND2D0)                                          0.18 &     3.81 r
  RAND_CORE_U16_RAND_CODE_REG_13_/SN (FD4D1)              0.00 &     3.81 r
  data arrival time                                                  3.81

  clock clk2 (rise edge)                                  0.00       0.00
  clock network delay (propagated)                        0.75       0.75
  clock reconvergence pessimism                           0.00       0.75
  input external delay                                    0.20       0.95 r
  seed[13] (in)                                           0.04 &     0.99 r
  U1676/Z (NID1)                                          0.16 &     1.15 r
  U1699/Z (DL200)                                         1.38 &     2.54 r
  U773/Z (OR2D0)                                          0.19 &     2.73 r
  RAND_CORE_U16_RAND_CODE_REG_13_/RN (FD4D1)              0.00 &     2.73 r
  data check setup time                                  -0.11       2.62
  data required time                                                 2.62
  ------------------------------------------------------------------------------
  data required time                                                 2.62
  data arrival time                                                 -3.81
  ------------------------------------------------------------------------------
  slack (VIOLATED)                                                  -1.19
 楼主| 发表于 2023-4-15 20:26:39 | 显示全部楼层
上面是违例报告,贴不上去图片
发表于 2023-4-15 21:09:15 | 显示全部楼层
这个是seed[13]输入上的下降沿引起的置位到它的上升沿引起的复位间的检查,是不是可以放掉不检查?
 楼主| 发表于 2023-4-17 16:03:12 | 显示全部楼层


zsftm 发表于 2023-4-15 21:09
这个是seed[13]输入上的下降沿引起的置位到它的上升沿引起的复位间的检查,是不是可以放掉不检查? ...


您的意思是 这个时序可以直接忽略掉吗
发表于 2023-4-17 17:39:07 | 显示全部楼层
跟前端确认下,RN、SN基本不会同时发生,是的话waive或者disable timing设掉
 楼主| 发表于 2023-4-18 14:34:18 | 显示全部楼层


allen_tang 发表于 2023-4-17 17:39
跟前端确认下,RN、SN基本不会同时发生,是的话waive或者disable timing设掉


好的 我再去确认一下 谢谢您的回复
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 08:57 , Processed in 0.028058 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表