在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 817|回复: 1

[求助] 关于基于physical block插入MBIST的问题

[复制链接]
发表于 2023-1-30 09:30:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在physical block level执行MBIST插入时候,执行process DFT specification命令时候,Log信息显示:Failed creating the memory bist controller assembly module. For more details, see AAA_mbist_create_controller_assembly.log。该AAA_mbist_create_controller_assembly.log当中报出一系列Error,均属于I2 violation。

比如log中其中一个Error为:The ClockPort BIST_CLK on ICL-attributed design instance 'AAA_mbist_tessent_mbist_c1_controller_inst', module 'AAA_mbist_tessent_mbist_c1_controller' does not trace to any primary or ICL module port. Either no structural connection exists, or the path is blocked.


呈现在AAA_mbist_tessent_mbist_c1_controller_assembly.v当中是如下现象:.v文件中module内部定义的输入输出信号前几个分别是LV_TM,MEM_BYPASS_EN...BIST_DONE,BIST_GO,紧接着是时钟信号aaa_clk(该信号此前使用add_clock命令进行添加,block中所有的mem的时钟信号均为该信号),assembly文件中分别例化了MEM的行为模型,controller,interface,正常情况下这些例化单元中的CLK端口信号(MEM行为模型)和BIST_CLK端口信号(controller,interface)均应该连接aaa_clk,即 .CLK(aaa_clk)和 .BIST_CLK(aaa_clk)。但是我这边的现象是:对于例化的MEM行为模型,出现了 .CLK(aaa_clk_ts1),无缘无故多出来一个ts1后缀;对于controller和interface当中的BIST_CLK,端口直接悬空了,.BIST_CLK()。包括例化的controller里面的BIST_SI, BIST_HOLD, BIST_SETUP2, MBISTPG_TESTDATA_SELECT, MBISTPG_EN, LV_TM, TCK信号等等这些都悬空了。

发表于 2023-1-30 20:56:04 | 显示全部楼层
感觉你直接先处理i2的问题就好
它说你controller的bist_clk往前追不到port上
你看看是需要设constrain还是前面本来就是断的还是怎么的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 03:57 , Processed in 0.015804 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表