在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2826|回复: 3

[求助] 使用vcs+verdi仿真不输出fsdb文件

[复制链接]
发表于 2023-1-15 12:31:08 | 显示全部楼层 |阅读模式
1500资产
本帖最后由 Forever_ 于 2023-1-15 14:46 编辑

我的vcs编译选项:
vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed -lca -sverilog "+define+CV32E40P_ASSERT_ON" +incdir+/opt/synopsys/vcs/O-2018.09-SP2-3/etc/uvm/src /opt/synopsys/vcs/O-2018.09-SP2-3/etc/uvm/src/uvm_pkg.sv +UVM_VERBOSITY=UVM_MEDIUM -ntb_opts uvm-1.2 -timescale=1ns/1ps     -assert svaext -race=all -ignore unique_checks -full64 -q +incdir+/opt/synopsys/vcs/O-2018.09-SP2-3/etc/uvm/src /opt/synopsys/vcs/O-2018.09-SP2-3/etc/uvm/src/uvm_pkg.sv +UVM_VERBOSITY=UVM_MEDIUM -ntb_opts uvm-1.2 +define+CV32E40P_TRACE_EXECUTION +incdir+/home/tang/Desktop/core-v-verif/cv32e40p/env/uvme +incdir+/home/tang/Desktop/core-v-verif/cv32e40p/tb/uvmt -f /home/tang/Desktop/core-v-verif/core-v-cores/cv32e40p/cv32e40p_manifest.flist -f /home/tang/Desktop/core-v-verif/cv32e40p/tb/uvmt/uvmt_cv32e40p.flist -f /home/tang/Desktop/core-v-verif/cv32e40p/tb/uvmt/imperas_iss.flist  -top uvmt_cv32e40p_tb -debug_access+all -kdb -l vcs.log -P /opt/synopsys/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/linux64/novas.tab /opt/synopsys/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/linux64/pli.a

我的./simv 运行选项:

./simv -licwait 20 -l vcs-hello-world.log -cm_name hello-world +DISABLE_OVPSIM +ntb_random_seed=1 -sv_lib /home/tang/Desktop/core-v-verif/vendor_lib/imperas/imperas_DV_COREV/bin/Linux64/imperas_CV32.dpi -sv_lib /home/tang/Desktop/core-v-verif/lib/dpi_dasm/lib/Linux64/libdpi_dasm -sv_lib /home/tang/Desktop/core-v-verif/cv32e40p/vendor_lib/verilab/svlib_dpi +signature=I-ADD-01.signature_output +UVM_TESTNAME=uvmt_cv32e40p_firmware_test_c +elf_file=/home/tang/Desktop/core-v-verif/cv32e40p/sim/uvmt/vcs_results/default/hello-world/0/test_program/hello-world.elf +firmware=/home/tang/Desktop/core-v-verif/cv32e40p/sim/uvmt/vcs_results/default/hello-world/0/test_program/hello-world.hex +itb_file=/home/tang/Desktop/core-v-verif/cv32e40p/sim/uvmt/vcs_results/default/hello-world/0/test_program/hello-world.itb

我看了vcs手册后,说要在./simv 后加上+UVM_VERDI_TRACE +UVM_TR_RECORD +UVM_LOG_RECORD,加上了之后确实能输出fsdb了,但是./simv运行时会报verdi的错误:
*Verdi* ERROR: Invalid master transaction id (0) in transaction add relation.

然后生成的fsdb打开后跟踪不到信号报告:  1>Failed to add certain signals to waveform because they cannot be found in waveform file, check "List of Signal(s) Not Found".  
请大神帮我看看,我到底是哪里出问题了,谢谢!


 楼主| 发表于 2023-1-15 12:45:01 | 显示全部楼层
就是输出不了fsdb文件,其他tracer等./simv输出的文件都能正常输出,输出的东西也正确,之前跑的时候也有一次跑出了能用的fsdb,之后却复现不了了。
 楼主| 发表于 2023-1-15 20:32:28 | 显示全部楼层
已找到原因,没有在顶层写$fsdbDumpfile 和 $fsdbDumpvars
 楼主| 发表于 2023-1-15 20:57:38 | 显示全部楼层
已找到原因,没有在顶层写$fsdbDumpfile 和 $fsdbDumpvars
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 15:22 , Processed in 0.016842 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表