在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1009|回复: 4

[求助] 用compile_ultra 和 compile_ultra -no_autoungroup 命令综合遇到的问题

[复制链接]
发表于 2022-12-29 17:30:23 来自手机 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
同一个模块,用compile_ultra 和 compile_ultra -no_autoungroup 命令综合。综合出来的结果compile_ultra的面积是400多万,而compile_ultra -no_autoungroup的才20几万。compile_ultra综合出来的时序也更差。想问一下各位大哥,一般是什么原因导致这个问题?
45674DB5-BA18-44C6-916B-76F8682D799B.jpeg
5288842A-3457-437F-B85A-159A25BBAF2C.jpeg
发表于 2022-12-29 17:38:38 | 显示全部楼层
问题肯定不在这 你看下别的地方吧
发表于 2023-1-3 15:46:07 来自手机 | 显示全部楼层
你这寄存器的数量都不一样,看下你吃进去的design是否一样吧
 楼主| 发表于 2023-1-7 10:18:00 来自手机 | 显示全部楼层


liu121zhe 发表于 2023-1-3 15:46
你这寄存器的数量都不一样,看下你吃进去的design是否一样吧


吃进去是一样的
发表于 2023-1-10 23:57:56 | 显示全部楼层
cross hier feedthrough 冗余逻辑太多造成。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 06:56 , Processed in 0.023224 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表