在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 957|回复: 1

[求助] 请教一下关于后端约束的问题

[复制链接]
发表于 2022-10-24 20:20:38 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
这两天看了一下icc2的timing analysis user guide ,也逛了一圈论坛,对于后端的约束还是有点一知半解,还有一些问题不太了解,请各位大佬指点一下:
1. set_input_delay是对除了clock的input port进行设置吗
2.clock uncertainty由clock jitter+skew+margin组成,hold不需要加jitter?(好像在哪看过记不清了),CTS之后减掉clock skew值,route之后再适当减小margin,设置为周期的15%可以吗,后面再逐渐减少
3. 在网上看到有说clock latency不设置也没关系,因为DC阶段设置的ideal network,CTS之后长好时钟树,工具会计算实际延时,所以可以不用设置是吗,看了两个脚本,也都没有设置这个
4. set_clock_transition这个约束在CTS阶段长好时钟树之后是不是可以去掉
5. set_driving_cell、input_transition和set_drive这三个设一个就行,因为现在做的是block level的所以我用的是set_driving_cell,这个命令是从DC到PR结束所有的sdc里都要有吗?
还是说CTS之后就可以去掉了?
6. set_max_transition对current design和input/output port的约束作用范围有什么不同吗,比如sdc中同时设置set_max_transition 1 [all_inputs]和set_max_transition 0.6 [current_design],
工具是怎么处理的,max_trasition的值一般设为工艺库里的一半左右?有必要对port和design分别设max_transition吗不会重复吗




发表于 2023-10-25 14:24:52 | 显示全部楼层
???
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 22:06 , Processed in 0.017596 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表