在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1990|回复: 5

[求助] innovus ccopt做时钟树

[复制链接]
发表于 2022-8-18 19:18:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近才接触innovus,刚上手有两个问题不太明白,望大神请教:
1、通过工具产生的时钟脚本,然后修改,添加的create_route_type设置top_proferred_layer M3和bottom_proferred_layer M2运行后,ccopt_design前运行检查准备显示错误,看了一下,操作界面的CTS mode设置里面还是默认的top_proferred_layer是M1,bottom_proferred_layer是M3,手动修改后再次检测准备就ok,这是为什么?为什么脚本改不过来这个,还是在set_ccopt_property里面设置其他什么参数才行?
2、同样是在时钟脚本tcl里面写target_skew 0.1,为什么运行检查准备,会显示skew太小,not low than 0.472 等等,我现在只是时钟树综合前检查准备,并没有做时钟树啊,为什么会出现这个?运行后就出现underslew问题了,,,
 楼主| 发表于 2022-8-21 20:34:59 | 显示全部楼层
自己顶一下,,,有没有大佬啊
发表于 2022-8-21 22:51:12 | 显示全部楼层
1.
参考UG,Clock Tree Synthesis, Route Types,有例子可以参考。

2.
一切就绪后,ccopt_design -cts之前,可以先跑一下
report_ccopt_skew_groups > RPT/PreCTS_skew_groups.rpt
打开report看一下Max ID,Min ID, Skew。从工具的角度看,clock tree上的MUX,ICG或是其他设计中引入的clock tree上的逻辑都会造成硬性的skew。选几个path,double check一下clock tree上的逻辑确实是符合设计意图的。如果写RTL的没什么经验,这一步还是有帮助的。
 楼主| 发表于 2022-8-22 15:39:57 | 显示全部楼层


jake 发表于 2022-8-21 22:51
1.
参考UG,Clock Tree Synthesis, Route Types,有例子可以参考。


1、是参考UG里面给的结果写的,试了多次都会出现这种问题,在另外一个帖子里面看到了一样的结果(https://bbs.eetop.cn/thread-863682-1-1.html),3层金属工艺的时候就出现这样的问题;
2、我按你说的查看了一下report Max ID,Min ID, Skew,结果符合,而且今天我是在cmd中一个一个命令敲的(这样做的缺点目前无法再生成spec,显示ERROR:tree已生成无法生成spec ),并没有报之前的no low than xxx之类的warring,之前都是在生成的spec文件里面修改的再source,不知道会不会和这个有关,之前看到有人说不建议在spec里面修改;
最后问一下关于这个log、rpt等文件里面的缩写符号,有没有相关pdf说明(我没找到),比如你说的这个ID是啥意思之类的,,,等等
感谢大佬!
发表于 2022-8-22 21:13:33 | 显示全部楼层


hmuing 发表于 2022-8-22 01:39
1、是参考UG里面给的结果写的,试了多次都会出现这种问题,在另外一个帖子里面看到了一样的结果(https:/ ...


2.
CTS一般会迭代几次,逐步优化。
第一次建议set_ccopt_property target_skew default,在ccopt_design前后都跑一下report_ccopt_skew_groups,比较一下。随后再决定是否要把target skew改成一个固定值。 通常default是可以的,不需要改成特定数值。
ID是insertion delay的缩写。

 楼主| 发表于 2022-8-23 08:36:31 | 显示全部楼层


jake 发表于 2022-8-22 21:13
2.
CTS一般会迭代几次,逐步优化。
第一次建议set_ccopt_property target_skew default,在ccopt_design ...


明白了,感谢大佬指点
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 06:09 , Processed in 0.021662 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表