在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1819|回复: 9

[求助] 数字IC DC综合是指做什么的

[复制链接]
发表于 2022-8-18 16:57:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
数字IC DC综合是指做什么的

有不错公司在招,但是搞不清楚是做哪块,有无大神简单介绍
发表于 2022-8-18 17:39:20 | 显示全部楼层
Design compiler
发表于 2022-8-18 17:40:13 | 显示全部楼层
Design compiler
发表于 2022-8-19 04:53:46 | 显示全部楼层
数字IC DC综合是指 用 Design compiler 跑出数字后端要用的网表文件(netlist), 而这跑出来的网表文件在行业内称为固核.
发表于 2022-8-19 04:56:57 | 显示全部楼层
本帖最后由 轩辕志瑜 于 2022-8-24 22:53 编辑

数字IC DC综合是指 用 Design compiler 这款工具把数字ic开发人员开发的verilog代码用 Design compiler 综合成数字后端能用的网表文件(netlist, 其实这个网表文件也是verilog只不过综合后跟工艺相关), 这一步是把代码逻辑转换成工艺相关的门级电路, 这个综合后的网表文件称为固核.
给你个例子:
这是未综合的verilog代码, (代码是用Chisel写的GPIO模块导出verilog代码后用openlane综合并跑出版图, 代码出自 Martoni/wbGPIO: Wishbone slave General purpose Input Output written in Chisel (github.com))




  1. module WbGpio(
  2.   input         clock,
  3.   input         reset,
  4.   input  [1:0]  io_wbs_adr_i,
  5.   input  [15:0] io_wbs_dat_i,
  6.   output [15:0] io_wbs_dat_o,
  7.   input         io_wbs_we_i,
  8.   input         io_wbs_stb_i,
  9.   output        io_wbs_ack_o,
  10.   input         io_wbs_cyc_i,
  11.   output [15:0] io_gpio_outport,
  12.   output [15:0] io_gpio_enport,
  13.   input  [15:0] io_gpio_inport
  14. );
  15. `ifdef RANDOMIZE_REG_INIT
  16.   reg [31:0] _RAND_0;
  17.   reg [31:0] _RAND_1;
  18.   reg [31:0] _RAND_2;
  19.   reg [31:0] _RAND_3;
  20.   reg [31:0] _RAND_4;
  21.   reg [31:0] _RAND_5;
  22. `endif // RANDOMIZE_REG_INIT
  23.   reg [7:0] version; // @[wbgpio.scala 25:34]
  24.   wire [8:0] statusReg = {1'h0,version}; // @[wbgpio.scala 39:49]
  25.   reg [15:0] dirReg; // @[wbgpio.scala 47:23]
  26.   reg [15:0] writeReg; // @[wbgpio.scala 64:25]
  27.   reg [1:0] wbSm; // @[wbgpio.scala 70:21]
  28.   reg  ackReg; // @[wbgpio.scala 71:23]
  29.   reg [15:0] wbReadReg; // @[wbgpio.scala 72:26]
  30.   wire  _T = 2'h0 == wbSm; // @[Conditional.scala 37:30]
  31.   wire  _T_4 = 2'h1 == io_wbs_adr_i; // @[Conditional.scala 37:30]
  32.   wire  _T_5 = 2'h3 == io_wbs_adr_i; // @[Conditional.scala 37:30]
  33.   wire [15:0] _GEN_0 = _T_5 ? io_wbs_dat_i : writeReg; // @[Conditional.scala 39:67 wbgpio.scala 84:24 wbgpio.scala 64:25]
  34.   wire [15:0] _GEN_1 = _T_4 ? io_wbs_dat_i : dirReg; // @[Conditional.scala 40:58 wbgpio.scala 81:22 wbgpio.scala 47:23]
  35.   wire [15:0] _GEN_2 = _T_4 ? writeReg : _GEN_0; // @[Conditional.scala 40:58 wbgpio.scala 64:25]
  36.   wire  _T_6 = 2'h0 == io_wbs_adr_i; // @[Conditional.scala 37:30]
  37.   wire  _T_8 = 2'h2 == io_wbs_adr_i; // @[Conditional.scala 37:30]
  38.   wire [15:0] _GEN_3 = _T_5 ? writeReg : wbReadReg; // @[Conditional.scala 39:67 wbgpio.scala 100:25 wbgpio.scala 72:26]
  39.   wire [15:0] _GEN_4 = _T_8 ? io_gpio_inport : _GEN_3; // @[Conditional.scala 39:67 wbgpio.scala 97:25]
  40.   wire [15:0] _GEN_5 = _T_4 ? dirReg : _GEN_4; // @[Conditional.scala 39:67 wbgpio.scala 94:25]
  41.   wire [15:0] _GEN_6 = _T_6 ? {{7'd0}, statusReg} : _GEN_5; // @[Conditional.scala 40:58 wbgpio.scala 91:25]
  42.   wire  _T_10 = 2'h1 == wbSm; // @[Conditional.scala 37:30]
  43.   wire  _T_11 = 2'h2 == wbSm; // @[Conditional.scala 37:30]
  44.   assign io_wbs_dat_o = wbReadReg; // @[wbgpio.scala 117:16]
  45.   assign io_wbs_ack_o = ackReg; // @[wbgpio.scala 118:16]
  46.   assign io_gpio_outport = writeReg; // @[wbgpio.scala 65:18]
  47.   assign io_gpio_enport = dirReg; // @[wbgpio.scala 48:18]
  48.   always @(posedge clock) begin
  49.     if (reset) begin // @[wbgpio.scala 25:34]
  50.       version <= 8'h1; // @[wbgpio.scala 25:34]
  51.     end
  52.     if (reset) begin // @[wbgpio.scala 47:23]
  53.       dirReg <= 16'h0; // @[wbgpio.scala 47:23]
  54.     end else if (_T) begin // @[Conditional.scala 40:58]
  55.       if (io_wbs_stb_i & io_wbs_cyc_i & ~ackReg) begin // @[wbgpio.scala 77:49]
  56.         if (io_wbs_we_i) begin // @[wbgpio.scala 78:26]
  57.           dirReg <= _GEN_1;
  58.         end
  59.       end
  60.     end
  61.     if (reset) begin // @[wbgpio.scala 64:25]
  62.       writeReg <= 16'h0; // @[wbgpio.scala 64:25]
  63.     end else if (_T) begin // @[Conditional.scala 40:58]
  64.       if (io_wbs_stb_i & io_wbs_cyc_i & ~ackReg) begin // @[wbgpio.scala 77:49]
  65.         if (io_wbs_we_i) begin // @[wbgpio.scala 78:26]
  66.           writeReg <= _GEN_2;
  67.         end
  68.       end
  69.     end
  70.     if (reset) begin // @[wbgpio.scala 70:21]
  71.       wbSm <= 2'h0; // @[wbgpio.scala 70:21]
  72.     end else if (_T) begin // @[Conditional.scala 40:58]
  73.       if (io_wbs_stb_i & io_wbs_cyc_i & ~ackReg) begin // @[wbgpio.scala 77:49]
  74.         if (io_wbs_we_i) begin // @[wbgpio.scala 78:26]
  75.           wbSm <= 2'h2; // @[wbgpio.scala 87:16]
  76.         end else begin
  77.           wbSm <= 2'h1; // @[wbgpio.scala 103:16]
  78.         end
  79.       end
  80.     end else if (_T_10) begin // @[Conditional.scala 39:67]
  81.       wbSm <= 2'h0; // @[wbgpio.scala 108:12]
  82.     end else if (_T_11) begin // @[Conditional.scala 39:67]
  83.       wbSm <= 2'h0; // @[wbgpio.scala 111:12]
  84.     end
  85.     if (reset) begin // @[wbgpio.scala 71:23]
  86.       ackReg <= 1'h0; // @[wbgpio.scala 71:23]
  87.     end else begin
  88.       ackReg <= wbSm == 2'h1 | wbSm == 2'h2; // @[wbgpio.scala 115:10]
  89.     end
  90.     if (reset) begin // @[wbgpio.scala 72:26]
  91.       wbReadReg <= 16'h0; // @[wbgpio.scala 72:26]
  92.     end else if (_T) begin // @[Conditional.scala 40:58]
  93.       if (io_wbs_stb_i & io_wbs_cyc_i & ~ackReg) begin // @[wbgpio.scala 77:49]
  94.         if (!(io_wbs_we_i)) begin // @[wbgpio.scala 78:26]
  95.           wbReadReg <= _GEN_6;
  96.         end
  97.       end
  98.     end
  99.   end
  100. // Register and memory initialization
  101. `ifdef RANDOMIZE_GARBAGE_ASSIGN
  102. `define RANDOMIZE
  103. `endif
  104. `ifdef RANDOMIZE_INVALID_ASSIGN
  105. `define RANDOMIZE
  106. `endif
  107. `ifdef RANDOMIZE_REG_INIT
  108. `define RANDOMIZE
  109. `endif
  110. `ifdef RANDOMIZE_MEM_INIT
  111. `define RANDOMIZE
  112. `endif
  113. `ifndef RANDOM
  114. `define RANDOM $random
  115. `endif
  116. `ifdef RANDOMIZE_MEM_INIT
  117.   integer initvar;
  118. `endif
  119. `ifndef SYNTHESIS
  120. `ifdef FIRRTL_BEFORE_INITIAL
  121. `FIRRTL_BEFORE_INITIAL
  122. `endif
  123. initial begin
  124.   `ifdef RANDOMIZE
  125.     `ifdef INIT_RANDOM
  126.       `INIT_RANDOM
  127.     `endif
  128.     `ifndef VERILATOR
  129.       `ifdef RANDOMIZE_DELAY
  130.         #`RANDOMIZE_DELAY begin end
  131.       `else
  132.         #0.002 begin end
  133.       `endif
  134.     `endif
  135. `ifdef RANDOMIZE_REG_INIT
  136.   _RAND_0 = {1{`RANDOM}};
  137.   version = _RAND_0[7:0];
  138.   _RAND_1 = {1{`RANDOM}};
  139.   dirReg = _RAND_1[15:0];
  140.   _RAND_2 = {1{`RANDOM}};
  141.   writeReg = _RAND_2[15:0];
  142.   _RAND_3 = {1{`RANDOM}};
  143.   wbSm = _RAND_3[1:0];
  144.   _RAND_4 = {1{`RANDOM}};
  145.   ackReg = _RAND_4[0:0];
  146.   _RAND_5 = {1{`RANDOM}};
  147.   wbReadReg = _RAND_5[15:0];
  148. `endif // RANDOMIZE_REG_INIT
  149.   `endif // RANDOMIZE
  150. end // initial
  151. `ifdef FIRRTL_AFTER_INITIAL
  152. `FIRRTL_AFTER_INITIAL
  153. `endif
  154. `endif // SYNTHESIS
  155. endmodule



复制代码
这是综合后未优化的verilog





  1. /* Generated by Yosys 0.13+15 (git sha1 bc027b2ca, gcc 11.2.0-19ubuntu1 -fPIC -Os) */

  2. module WbGpio(clock, reset, io_wbs_adr_i, io_wbs_dat_i, io_wbs_dat_o, io_wbs_we_i, io_wbs_stb_i, io_wbs_ack_o, io_wbs_cyc_i, io_gpio_outport, io_gpio_enport, io_gpio_inport);
  3.   wire _000_;
  4.   wire _001_;
  5.   wire _002_;
  6.   wire _003_;
  7.   wire _004_;
  8.   wire _005_;
  9.   wire _006_;
  10.   wire _007_;
  11.   wire _008_;
  12.   wire _009_;
  13.   wire _010_;
  14.   wire _011_;
  15.   wire _012_;
  16.   wire _013_;
  17.   wire _014_;
  18.   wire _015_;
  19.   wire _016_;
  20.   wire _017_;
  21.   wire _018_;
  22.   wire _019_;
  23.   wire _020_;
  24.   wire _021_;
  25.   wire _022_;
  26.   wire _023_;
  27.   wire _024_;
  28.   wire _025_;
  29.   wire _026_;
  30.   wire _027_;
  31.   wire _028_;
  32.   wire _029_;
  33.   wire _030_;
  34.   wire _031_;
  35.   wire _032_;
  36.   wire _033_;
  37.   wire _034_;
  38.   wire _035_;
  39.   wire _036_;
  40.   wire _037_;
  41.   wire _038_;
  42.   wire _039_;
  43.   wire _040_;
  44.   wire _041_;
  45.   wire _042_;
  46.   wire _043_;
  47.   wire _044_;
  48.   wire _045_;
  49.   wire _046_;
  50.   wire _047_;
  51.   wire _048_;
  52.   wire _049_;
  53.   wire _050_;
  54.   wire _051_;
  55.   wire _052_;
  56.   wire _053_;
  57.   wire _054_;
  58.   wire _055_;
  59.   wire _056_;
  60.   wire _057_;
  61.   wire _058_;
  62.   wire _059_;
  63.   wire _060_;
  64.   wire _061_;
  65.   wire _062_;
  66.   wire _063_;
  67.   wire _064_;
  68.   wire _065_;
  69.   wire _066_;
  70.   wire _067_;
  71.   wire _068_;
  72.   wire _069_;
  73.   wire _070_;
  74.   wire _071_;
  75.   wire _072_;
  76.   wire _073_;
  77.   wire _074_;
  78.   wire _075_;
  79.   wire _076_;
  80.   wire _077_;
  81.   wire _078_;
  82.   wire _079_;
  83.   wire _080_;
  84.   wire _081_;
  85.   wire _082_;
  86.   wire _083_;
  87.   wire _084_;
  88.   wire _085_;
  89.   wire _086_;
  90.   wire _087_;
  91.   wire _088_;
  92.   wire _089_;
  93.   wire _090_;
  94.   wire _091_;
  95.   wire _092_;
  96.   wire _093_;
  97.   wire _094_;
  98.   wire _095_;
  99.   wire _096_;
  100.   wire _097_;
  101.   wire _098_;
  102.   wire _099_;
  103.   wire _100_;
  104.   wire _101_;
  105.   wire _102_;
  106.   wire _103_;
  107.   wire _104_;
  108.   wire _105_;
  109.   wire _106_;
  110.   wire _107_;
  111.   wire _108_;
  112.   wire _109_;
  113.   wire _110_;
  114.   wire _111_;
  115.   wire _112_;
  116.   wire _113_;
  117.   wire _114_;
  118.   wire _115_;
  119.   wire _116_;
  120.   wire _117_;
  121.   wire _118_;
  122.   wire _119_;
  123.   wire _120_;
  124.   wire _121_;
  125.   wire _122_;
  126.   wire _123_;
  127.   wire _124_;
  128.   wire _125_;
  129.   wire _126_;
  130.   wire _127_;
  131.   wire _128_;
  132.   wire _129_;
  133.   wire _130_;
  134.   wire _131_;
  135.   wire _132_;
  136.   wire _133_;
  137.   wire _134_;
  138.   wire _135_;
  139.   wire _136_;
  140.   wire _137_;
  141.   wire _138_;
  142.   wire _139_;
  143.   wire _140_;
  144.   wire _141_;
  145.   wire _142_;
  146.   wire _143_;
  147.   wire _144_;
  148.   wire _145_;
  149.   wire _146_;
  150.   wire _147_;
  151.   wire _148_;
  152.   wire _149_;
  153.   wire _150_;
  154.   wire _151_;
  155.   wire _152_;
  156.   wire _153_;
  157.   wire _154_;
  158.   wire _155_;
  159.   wire _156_;
  160.   wire _157_;
  161.   wire _158_;
  162.   wire _159_;
  163.   wire _160_;
  164.   wire _161_;
  165.   wire _162_;
  166.   wire _163_;
  167.   wire _164_;
  168.   wire _165_;
  169.   wire _166_;
  170.   wire _167_;
  171.   wire _168_;
  172.   wire _169_;
  173.   wire _170_;
  174.   wire _171_;
  175.   wire _172_;
  176.   wire _173_;
  177.   wire _174_;
  178.   wire _175_;
  179.   wire _176_;
  180.   wire _177_;
  181.   wire _178_;
  182.   wire _179_;
  183.   wire _180_;
  184.   wire _181_;
  185.   wire _182_;
  186.   wire _183_;
  187.   wire _184_;
  188.   wire _185_;
  189.   wire _186_;
  190.   wire _187_;
  191.   wire _188_;
  192.   wire _189_;
  193.   wire _190_;
  194.   wire _191_;
  195.   wire _192_;
  196.   wire _193_;
  197.   wire _194_;
  198.   wire _195_;
  199.   wire _196_;
  200.   wire _197_;
  201.   wire _198_;
  202.   wire _199_;
  203.   wire _200_;
  204.   wire _201_;
  205.   wire _202_;
  206.   wire _203_;
  207.   wire _204_;
  208.   wire _205_;
  209.   wire _206_;
  210.   wire _207_;
  211.   wire _208_;
  212.   wire _209_;
  213.   wire _210_;
  214.   wire _211_;
  215.   wire _212_;
  216.   wire _213_;
  217.   wire _214_;
  218.   wire _215_;
  219.   wire _216_;
  220.   wire _217_;
  221.   wire _218_;
  222.   wire _219_;
  223.   wire _220_;
  224.   wire _221_;
  225.   wire _222_;
  226.   wire _223_;
  227.   wire _224_;
  228.   wire _225_;
  229.   wire _226_;
  230.   wire _227_;
  231.   wire _228_;
  232.   wire _229_;
  233.   wire _230_;
  234.   wire _231_;
  235.   wire _232_;
  236.   wire _233_;
  237.   wire _234_;
  238.   wire _235_;
  239.   wire _236_;
  240.   wire _237_;
  241.   wire _238_;
  242.   wire _239_;
  243.   wire _240_;
  244.   wire _241_;
  245.   wire _242_;
  246.   wire _243_;
  247.   wire _244_;
  248.   wire _245_;
  249.   wire _246_;
  250.   wire _247_;
  251.   wire _248_;
  252.   wire _249_;
  253.   wire _250_;
  254.   wire _251_;
  255.   wire _252_;
  256.   wire _253_;
  257.   wire _254_;
  258.   wire _255_;
  259.   wire _256_;
  260.   wire _257_;
  261.   wire _258_;
  262.   wire _259_;
  263.   wire _260_;
  264.   wire _261_;
  265.   wire _262_;
  266.   wire _263_;
  267.   wire _264_;
  268.   wire _265_;
  269.   wire _266_;
  270.   wire _267_;
  271.   wire _268_;
  272.   wire _269_;
  273.   wire _270_;
  274.   wire _271_;
  275.   wire _272_;
  276.   wire _273_;
  277.   wire _274_;
  278.   wire _275_;
  279.   wire _276_;
  280.   wire _277_;
  281.   wire _278_;
  282.   wire _279_;
  283.   wire _280_;
  284.   wire _281_;
  285.   wire _282_;
  286.   wire _283_;
  287.   wire _284_;
  288.   wire _285_;
  289.   wire _286_;
  290.   wire _287_;
  291.   wire _288_;
  292.   wire _289_;
  293.   wire _290_;
  294.   wire _291_;
  295.   wire _292_;
  296.   wire _293_;
  297.   wire _294_;
  298.   wire _295_;
  299.   wire _296_;
  300.   wire _297_;
  301.   wire _298_;
  302.   wire _299_;
  303.   wire _300_;
  304.   wire _301_;
  305.   wire _302_;
  306.   wire _303_;
  307.   wire _304_;
  308.   wire _305_;
  309.   wire _306_;
  310.   wire _307_;
  311.   wire _308_;
  312.   wire _309_;
  313.   wire _310_;
  314.   wire _311_;
  315.   wire _312_;
  316.   wire _313_;
  317.   wire _314_;
  318.   wire _315_;
  319.   input clock;
  320.   output [15:0] io_gpio_enport;
  321.   input [15:0] io_gpio_inport;
  322.   output [15:0] io_gpio_outport;
  323.   output io_wbs_ack_o;
  324.   input [1:0] io_wbs_adr_i;
  325.   input io_wbs_cyc_i;
  326.   input [15:0] io_wbs_dat_i;
  327.   output [15:0] io_wbs_dat_o;
  328.   input io_wbs_stb_i;
  329.   input io_wbs_we_i;
  330.   input reset;
  331.   INVx1_ASAP7_75t_R _316_ (
  332.     .A(_022_),
  333.     .Y(io_gpio_outport[12])
  334.   );
  335.   INVx1_ASAP7_75t_R _317_ (
  336.     .A(_038_),
  337.     .Y(io_gpio_enport[12])
  338.   );
  339.   INVx1_ASAP7_75t_R _318_ (
  340.     .A(_021_),
  341.     .Y(io_gpio_outport[13])
  342.   );
  343.   INVx1_ASAP7_75t_R _319_ (
  344.     .A(_037_),
  345.     .Y(io_gpio_enport[13])
  346.   );
  347.   INVx1_ASAP7_75t_R _320_ (
  348.     .A(_020_),
  349.     .Y(io_gpio_outport[14])
  350.   );
  351.   INVx1_ASAP7_75t_R _321_ (
  352.     .A(_036_),
  353.     .Y(io_gpio_enport[14])
  354.   );
  355.   INVx1_ASAP7_75t_R _322_ (
  356.     .A(_019_),
  357.     .Y(io_gpio_outport[15])
  358.   );
  359.   INVx1_ASAP7_75t_R _323_ (
  360.     .A(_035_),
  361.     .Y(io_gpio_enport[15])
  362.   );
  363.   OR2x2_ASAP7_75t_R _324_ (
  364.     .A(io_wbs_we_i),
  365.     .B(_054_),
  366.     .Y(_110_)
  367.   );
  368.   NOR2x1_ASAP7_75t_R _325_ (
  369.     .A(_110_),
  370.     .B(_105_),
  371.     .Y(_111_)
  372.   );
  373.   INVx1_ASAP7_75t_R _326_ (
  374.     .A(_106_),
  375.     .Y(_112_)
  376.   );
  377.   AND2x2_ASAP7_75t_R _327_ (
  378.     .A(_111_),
  379.     .B(_112_),
  380.     .Y(_001_)
  381.   );
  382.   NOR2x2_ASAP7_75t_R _328_ (
  383.     .A(_054_),
  384.     .B(_105_),
  385.     .Y(_113_)
  386.   );
  387.   BUFx2_ASAP7_75t_R _329_ (
  388.     .A(_113_),
  389.     .Y(_114_)
  390.   );
  391.   AND3x1_ASAP7_75t_R _330_ (
  392.     .A(_114_),
  393.     .B(io_wbs_we_i),
  394.     .C(_112_),
  395.     .Y(_000_)
  396.   );
  397.   INVx1_ASAP7_75t_R _331_ (
  398.     .A(clock),
  399.     .Y(_055_)
  400.   );
  401.   INVx1_ASAP7_75t_R _332_ (
  402.     .A(_003_),
  403.     .Y(io_wbs_dat_o[15])
  404.   );
  405.   INVx1_ASAP7_75t_R _333_ (
  406.     .A(_004_),
  407.     .Y(io_wbs_dat_o[14])
  408.   );
  409.   INVx1_ASAP7_75t_R _334_ (
  410.     .A(_005_),
  411.     .Y(io_wbs_dat_o[13])
  412.   );
  413.   INVx1_ASAP7_75t_R _335_ (
  414.     .A(_006_),
  415.     .Y(io_wbs_dat_o[12])
  416.   );
  417.   INVx1_ASAP7_75t_R _336_ (
  418.     .A(_007_),
  419.     .Y(io_wbs_dat_o[11])
  420.   );
  421.   INVx1_ASAP7_75t_R _337_ (
  422.     .A(_008_),
  423.     .Y(io_wbs_dat_o[10])
  424.   );
  425.   INVx1_ASAP7_75t_R _338_ (
  426.     .A(_009_),
  427.     .Y(io_wbs_dat_o[9])
  428.   );
  429.   INVx1_ASAP7_75t_R _339_ (
  430.     .A(_010_),
  431.     .Y(io_wbs_dat_o[8])
  432.   );
  433.   INVx1_ASAP7_75t_R _340_ (
  434.     .A(_011_),
  435.     .Y(io_wbs_dat_o[7])
  436.   );
  437.   INVx1_ASAP7_75t_R _341_ (
  438.     .A(_012_),
  439.     .Y(io_wbs_dat_o[6])
  440.   );
  441.   INVx1_ASAP7_75t_R _342_ (
  442.     .A(_013_),
  443.     .Y(io_wbs_dat_o[5])
  444.   );
  445.   INVx1_ASAP7_75t_R _343_ (
  446.     .A(_014_),
  447.     .Y(io_wbs_dat_o[4])
  448.   );
  449.   INVx1_ASAP7_75t_R _344_ (
  450.     .A(_015_),
  451.     .Y(io_wbs_dat_o[3])
  452.   );
  453.   INVx1_ASAP7_75t_R _345_ (
  454.     .A(_016_),
  455.     .Y(io_wbs_dat_o[2])
  456.   );
  457.   INVx1_ASAP7_75t_R _346_ (
  458.     .A(_017_),
  459.     .Y(io_wbs_dat_o[1])
  460.   );
  461.   INVx1_ASAP7_75t_R _347_ (
  462.     .A(_018_),
  463.     .Y(io_wbs_dat_o[0])
  464.   );
  465.   OA21x2_ASAP7_75t_R _348_ (
  466.     .A1(_108_),
  467.     .A2(_109_),
  468.     .B(_112_),
  469.     .Y(_056_)
  470.   );
  471.   BUFx6f_ASAP7_75t_R _349_ (
  472.     .A(io_wbs_adr_i[1]),
  473.     .Y(_115_)
  474.   );
  475.   INVx3_ASAP7_75t_R _350_ (
  476.     .A(_115_),
  477.     .Y(_116_)
  478.   );
  479.   BUFx4f_ASAP7_75t_R _351_ (
  480.     .A(io_wbs_adr_i[0]),
  481.     .Y(_117_)
  482.   );
  483.   AND3x1_ASAP7_75t_R _352_ (
  484.     .A(_116_),
  485.     .B(_117_),
  486.     .C(io_wbs_we_i),
  487.     .Y(_118_)
  488.   );
  489.   BUFx2_ASAP7_75t_R _353_ (
  490.     .A(_118_),
  491.     .Y(_119_)
  492.   );
  493.   BUFx4f_ASAP7_75t_R _354_ (
  494.     .A(_119_),
  495.     .Y(_120_)
  496.   );
  497.   NAND3x1_ASAP7_75t_R _355_ (
  498.     .A(_114_),
  499.     .B(io_wbs_dat_i[0]),
  500.     .C(_120_),
  501.     .Y(_121_)
  502.   );
  503.   BUFx2_ASAP7_75t_R _356_ (
  504.     .A(_113_),
  505.     .Y(_122_)
  506.   );
  507.   BUFx4f_ASAP7_75t_R _357_ (
  508.     .A(_119_),
  509.     .Y(_123_)
  510.   );
  511.   AO21x1_ASAP7_75t_R _358_ (
  512.     .A1(_122_),
  513.     .A2(_123_),
  514.     .B(_050_),
  515.     .Y(_124_)
  516.   );
  517.   BUFx2_ASAP7_75t_R _359_ (
  518.     .A(_107_),
  519.     .Y(_125_)
  520.   );
  521.   AOI21x1_ASAP7_75t_R _360_ (
  522.     .A1(_121_),
  523.     .A2(_124_),
  524.     .B(_125_),
  525.     .Y(_057_)
  526.   );
  527.   NAND3x1_ASAP7_75t_R _361_ (
  528.     .A(_114_),
  529.     .B(io_wbs_dat_i[1]),
  530.     .C(_120_),
  531.     .Y(_126_)
  532.   );
  533.   AO21x1_ASAP7_75t_R _362_ (
  534.     .A1(_122_),
  535.     .A2(_123_),
  536.     .B(_049_),
  537.     .Y(_127_)
  538.   );
  539.   AOI21x1_ASAP7_75t_R _363_ (
  540.     .A1(_126_),
  541.     .A2(_127_),
  542.     .B(_125_),
  543.     .Y(_058_)
  544.   );
  545.   NAND3x1_ASAP7_75t_R _364_ (
  546.     .A(_114_),
  547.     .B(io_wbs_dat_i[2]),
  548.     .C(_120_),
  549.     .Y(_128_)
  550.   );
  551.   AO21x1_ASAP7_75t_R _365_ (
  552.     .A1(_122_),
  553.     .A2(_123_),
  554.     .B(_048_),
  555.     .Y(_129_)
  556.   );
  557.   AOI21x1_ASAP7_75t_R _366_ (
  558.     .A1(_128_),
  559.     .A2(_129_),
  560.     .B(_125_),
  561.     .Y(_059_)
  562.   );
  563.   NAND3x1_ASAP7_75t_R _367_ (
  564.     .A(_114_),
  565.     .B(io_wbs_dat_i[3]),
  566.     .C(_120_),
  567.     .Y(_130_)
  568.   );
  569.   AO21x1_ASAP7_75t_R _368_ (
  570.     .A1(_122_),
  571.     .A2(_123_),
  572.     .B(_047_),
  573.     .Y(_131_)
  574.   );
  575.   AOI21x1_ASAP7_75t_R _369_ (
  576.     .A1(_130_),
  577.     .A2(_131_),
  578.     .B(_125_),
  579.     .Y(_060_)
  580.   );
  581.   NAND3x1_ASAP7_75t_R _370_ (
  582.     .A(_114_),
  583.     .B(io_wbs_dat_i[4]),
  584.     .C(_120_),
  585.     .Y(_132_)
  586.   );
  587.   BUFx3_ASAP7_75t_R _371_ (
  588.     .A(_119_),
  589.     .Y(_133_)
  590.   );
  591.   AO21x1_ASAP7_75t_R _372_ (
  592.     .A1(_122_),
  593.     .A2(_133_),
  594.     .B(_046_),
  595.     .Y(_134_)
  596.   );
  597.   AOI21x1_ASAP7_75t_R _373_ (
  598.     .A1(_132_),
  599.     .A2(_134_),
  600.     .B(_125_),
  601.     .Y(_061_)
  602.   );
  603.   NAND3x1_ASAP7_75t_R _374_ (
  604.     .A(_114_),
  605.     .B(io_wbs_dat_i[5]),
  606.     .C(_120_),
  607.     .Y(_135_)
  608.   );
  609.   AO21x1_ASAP7_75t_R _375_ (
  610.     .A1(_122_),
  611.     .A2(_133_),
  612.     .B(_045_),
  613.     .Y(_136_)
  614.   );
  615.   AOI21x1_ASAP7_75t_R _376_ (
  616.     .A1(_135_),
  617.     .A2(_136_),
  618.     .B(_125_),
  619.     .Y(_062_)
  620.   );
  621.   NAND3x1_ASAP7_75t_R _377_ (
  622.     .A(_114_),
  623.     .B(io_wbs_dat_i[6]),
  624.     .C(_120_),
  625.     .Y(_137_)
  626.   );
  627.   AO21x1_ASAP7_75t_R _378_ (
  628.     .A1(_122_),
  629.     .A2(_133_),
  630.     .B(_044_),
  631.     .Y(_138_)
  632.   );
  633.   AOI21x1_ASAP7_75t_R _379_ (
  634.     .A1(_137_),
  635.     .A2(_138_),
  636.     .B(_125_),
  637.     .Y(_063_)
  638.   );
  639.   NAND3x1_ASAP7_75t_R _380_ (
  640.     .A(_114_),
  641.     .B(io_wbs_dat_i[7]),
  642.     .C(_120_),
  643.     .Y(_139_)
  644.   );
  645.   BUFx2_ASAP7_75t_R _381_ (
  646.     .A(_113_),
  647.     .Y(_140_)
  648.   );
  649.   AO21x1_ASAP7_75t_R _382_ (
  650.     .A1(_140_),
  651.     .A2(_133_),
  652.     .B(_043_),
  653.     .Y(_141_)
  654.   );
  655.   AOI21x1_ASAP7_75t_R _383_ (
  656.     .A1(_139_),
  657.     .A2(_141_),
  658.     .B(_125_),
  659.     .Y(_064_)
  660.   );
  661.   NAND3x1_ASAP7_75t_R _384_ (
  662.     .A(_114_),
  663.     .B(io_wbs_dat_i[8]),
  664.     .C(_120_),
  665.     .Y(_142_)
  666.   );
  667.   AO21x1_ASAP7_75t_R _385_ (
  668.     .A1(_140_),
  669.     .A2(_133_),
  670.     .B(_042_),
  671.     .Y(_143_)
  672.   );
  673.   AOI21x1_ASAP7_75t_R _386_ (
  674.     .A1(_142_),
  675.     .A2(_143_),
  676.     .B(_125_),
  677.     .Y(_065_)
  678.   );
  679.   BUFx3_ASAP7_75t_R _387_ (
  680.     .A(_113_),
  681.     .Y(_144_)
  682.   );
  683.   NAND3x1_ASAP7_75t_R _388_ (
  684.     .A(_144_),
  685.     .B(io_wbs_dat_i[9]),
  686.     .C(_120_),
  687.     .Y(_145_)
  688.   );
  689.   AO21x1_ASAP7_75t_R _389_ (
  690.     .A1(_140_),
  691.     .A2(_133_),
  692.     .B(_041_),
  693.     .Y(_146_)
  694.   );
  695.   AOI21x1_ASAP7_75t_R _390_ (
  696.     .A1(_145_),
  697.     .A2(_146_),
  698.     .B(_125_),
  699.     .Y(_066_)
  700.   );
  701.   NAND3x1_ASAP7_75t_R _391_ (
  702.     .A(_144_),
  703.     .B(io_wbs_dat_i[10]),
  704.     .C(_123_),
  705.     .Y(_147_)
  706.   );
  707.   AO21x1_ASAP7_75t_R _392_ (
  708.     .A1(_140_),
  709.     .A2(_133_),
  710.     .B(_040_),
  711.     .Y(_148_)
  712.   );
  713.   BUFx2_ASAP7_75t_R _393_ (
  714.     .A(_107_),
  715.     .Y(_149_)
  716.   );
  717.   AOI21x1_ASAP7_75t_R _394_ (
  718.     .A1(_147_),
  719.     .A2(_148_),
  720.     .B(_149_),
  721.     .Y(_067_)
  722.   );
  723.   NAND3x1_ASAP7_75t_R _395_ (
  724.     .A(_144_),
  725.     .B(io_wbs_dat_i[11]),
  726.     .C(_123_),
  727.     .Y(_150_)
  728.   );
  729.   AO21x1_ASAP7_75t_R _396_ (
  730.     .A1(_140_),
  731.     .A2(_133_),
  732.     .B(_039_),
  733.     .Y(_151_)
  734.   );
  735.   AOI21x1_ASAP7_75t_R _397_ (
  736.     .A1(_150_),
  737.     .A2(_151_),
  738.     .B(_149_),
  739.     .Y(_068_)
  740.   );
  741.   NAND3x1_ASAP7_75t_R _398_ (
  742.     .A(_144_),
  743.     .B(io_wbs_dat_i[12]),
  744.     .C(_123_),
  745.     .Y(_152_)
  746.   );
  747.   AO21x1_ASAP7_75t_R _399_ (
  748.     .A1(_140_),
  749.     .A2(_133_),
  750.     .B(_038_),
  751.     .Y(_153_)
  752.   );
  753.   AOI21x1_ASAP7_75t_R _400_ (
  754.     .A1(_152_),
  755.     .A2(_153_),
  756.     .B(_149_),
  757.     .Y(_069_)
  758.   );
  759.   NAND3x1_ASAP7_75t_R _401_ (
  760.     .A(_144_),
  761.     .B(io_wbs_dat_i[13]),
  762.     .C(_123_),
  763.     .Y(_154_)
  764.   );
  765.   AO21x1_ASAP7_75t_R _402_ (
  766.     .A1(_140_),
  767.     .A2(_133_),
  768.     .B(_037_),
  769.     .Y(_155_)
  770.   );
  771.   AOI21x1_ASAP7_75t_R _403_ (
  772.     .A1(_154_),
  773.     .A2(_155_),
  774.     .B(_149_),
  775.     .Y(_070_)
  776.   );
  777.   NAND3x1_ASAP7_75t_R _404_ (
  778.     .A(_144_),
  779.     .B(io_wbs_dat_i[14]),
  780.     .C(_123_),
  781.     .Y(_156_)
  782.   );
  783.   AO21x1_ASAP7_75t_R _405_ (
  784.     .A1(_140_),
  785.     .A2(_119_),
  786.     .B(_036_),
  787.     .Y(_157_)
  788.   );
  789.   AOI21x1_ASAP7_75t_R _406_ (
  790.     .A1(_156_),
  791.     .A2(_157_),
  792.     .B(_149_),
  793.     .Y(_071_)
  794.   );
  795.   NAND3x1_ASAP7_75t_R _407_ (
  796.     .A(_144_),
  797.     .B(io_wbs_dat_i[15]),
  798.     .C(_123_),
  799.     .Y(_158_)
  800.   );
  801.   AO21x1_ASAP7_75t_R _408_ (
  802.     .A1(_140_),
  803.     .A2(_119_),
  804.     .B(_035_),
  805.     .Y(_159_)
  806.   );
  807.   AOI21x1_ASAP7_75t_R _409_ (
  808.     .A1(_158_),
  809.     .A2(_159_),
  810.     .B(_149_),
  811.     .Y(_072_)
  812.   );
  813.   AND3x1_ASAP7_75t_R _410_ (
  814.     .A(_117_),
  815.     .B(_115_),
  816.     .C(io_wbs_we_i),
  817.     .Y(_160_)
  818.   );
  819.   BUFx2_ASAP7_75t_R _411_ (
  820.     .A(_160_),
  821.     .Y(_161_)
  822.   );
  823.   BUFx3_ASAP7_75t_R _412_ (
  824.     .A(_161_),
  825.     .Y(_162_)
  826.   );
  827.   NAND3x1_ASAP7_75t_R _413_ (
  828.     .A(_144_),
  829.     .B(io_wbs_dat_i[0]),
  830.     .C(_162_),
  831.     .Y(_163_)
  832.   );
  833.   BUFx2_ASAP7_75t_R _414_ (
  834.     .A(_161_),
  835.     .Y(_164_)
  836.   );
  837.   AO21x1_ASAP7_75t_R _415_ (
  838.     .A1(_140_),
  839.     .A2(_164_),
  840.     .B(_034_),
  841.     .Y(_165_)
  842.   );
  843.   AOI21x1_ASAP7_75t_R _416_ (
  844.     .A1(_163_),
  845.     .A2(_165_),
  846.     .B(_149_),
  847.     .Y(_073_)
  848.   );
  849.   NAND3x1_ASAP7_75t_R _417_ (
  850.     .A(_144_),
  851.     .B(io_wbs_dat_i[1]),
  852.     .C(_162_),
  853.     .Y(_166_)
  854.   );
  855.   BUFx2_ASAP7_75t_R _418_ (
  856.     .A(_113_),
  857.     .Y(_167_)
  858.   );
  859.   AO21x1_ASAP7_75t_R _419_ (
  860.     .A1(_167_),
  861.     .A2(_164_),
  862.     .B(_033_),
  863.     .Y(_168_)
  864.   );
  865.   AOI21x1_ASAP7_75t_R _420_ (
  866.     .A1(_166_),
  867.     .A2(_168_),
  868.     .B(_149_),
  869.     .Y(_074_)
  870.   );
  871.   NAND3x1_ASAP7_75t_R _421_ (
  872.     .A(_144_),
  873.     .B(io_wbs_dat_i[2]),
  874.     .C(_162_),
  875.     .Y(_169_)
  876.   );
  877.   AO21x1_ASAP7_75t_R _422_ (
  878.     .A1(_167_),
  879.     .A2(_164_),
  880.     .B(_032_),
  881.     .Y(_170_)
  882.   );
  883.   AOI21x1_ASAP7_75t_R _423_ (
  884.     .A1(_169_),
  885.     .A2(_170_),
  886.     .B(_149_),
  887.     .Y(_075_)
  888.   );
  889.   BUFx3_ASAP7_75t_R _424_ (
  890.     .A(_113_),
  891.     .Y(_171_)
  892.   );
  893.   NAND3x1_ASAP7_75t_R _425_ (
  894.     .A(_171_),
  895.     .B(io_wbs_dat_i[3]),
  896.     .C(_162_),
  897.     .Y(_172_)
  898.   );
  899.   AO21x1_ASAP7_75t_R _426_ (
  900.     .A1(_167_),
  901.     .A2(_164_),
  902.     .B(_031_),
  903.     .Y(_173_)
  904.   );
  905.   AOI21x1_ASAP7_75t_R _427_ (
  906.     .A1(_172_),
  907.     .A2(_173_),
  908.     .B(_149_),
  909.     .Y(_076_)
  910.   );
  911.   NAND3x1_ASAP7_75t_R _428_ (
  912.     .A(_171_),
  913.     .B(io_wbs_dat_i[4]),
  914.     .C(_162_),
  915.     .Y(_174_)
  916.   );
  917.   BUFx2_ASAP7_75t_R _429_ (
  918.     .A(_161_),
  919.     .Y(_175_)
  920.   );
  921.   AO21x1_ASAP7_75t_R _430_ (
  922.     .A1(_167_),
  923.     .A2(_175_),
  924.     .B(_030_),
  925.     .Y(_176_)
  926.   );
  927.   BUFx2_ASAP7_75t_R _431_ (
  928.     .A(_106_),
  929.     .Y(_177_)
  930.   );
  931.   AOI21x1_ASAP7_75t_R _432_ (
  932.     .A1(_174_),
  933.     .A2(_176_),
  934.     .B(_177_),
  935.     .Y(_077_)
  936.   );
  937.   NAND3x1_ASAP7_75t_R _433_ (
  938.     .A(_171_),
  939.     .B(io_wbs_dat_i[5]),
  940.     .C(_162_),
  941.     .Y(_178_)
  942.   );
  943.   AO21x1_ASAP7_75t_R _434_ (
  944.     .A1(_167_),
  945.     .A2(_175_),
  946.     .B(_029_),
  947.     .Y(_179_)
  948.   );
  949.   AOI21x1_ASAP7_75t_R _435_ (
  950.     .A1(_178_),
  951.     .A2(_179_),
  952.     .B(_177_),
  953.     .Y(_078_)
  954.   );
  955.   NAND3x1_ASAP7_75t_R _436_ (
  956.     .A(_171_),
  957.     .B(io_wbs_dat_i[6]),
  958.     .C(_162_),
  959.     .Y(_180_)
  960.   );
  961.   AO21x1_ASAP7_75t_R _437_ (
  962.     .A1(_167_),
  963.     .A2(_175_),
  964.     .B(_028_),
  965.     .Y(_181_)
  966.   );
  967.   AOI21x1_ASAP7_75t_R _438_ (
  968.     .A1(_180_),
  969.     .A2(_181_),
  970.     .B(_177_),
  971.     .Y(_079_)
  972.   );
  973.   NAND3x1_ASAP7_75t_R _439_ (
  974.     .A(_171_),
  975.     .B(io_wbs_dat_i[7]),
  976.     .C(_162_),
  977.     .Y(_182_)
  978.   );
  979.   AO21x1_ASAP7_75t_R _440_ (
  980.     .A1(_167_),
  981.     .A2(_175_),
  982.     .B(_027_),
  983.     .Y(_183_)
  984.   );
  985.   AOI21x1_ASAP7_75t_R _441_ (
  986.     .A1(_182_),
  987.     .A2(_183_),
  988.     .B(_177_),
  989.     .Y(_080_)
  990.   );
  991.   NAND3x1_ASAP7_75t_R _442_ (
  992.     .A(_171_),
  993.     .B(io_wbs_dat_i[8]),
  994.     .C(_162_),
  995.     .Y(_184_)
  996.   );
  997.   AO21x1_ASAP7_75t_R _443_ (
  998.     .A1(_167_),
  999.     .A2(_175_),
  1000.     .B(_026_),
  1001.     .Y(_185_)
  1002.   );
  1003.   AOI21x1_ASAP7_75t_R _444_ (
  1004.     .A1(_184_),
  1005.     .A2(_185_),
  1006.     .B(_177_),
  1007.     .Y(_081_)
  1008.   );
  1009.   NAND3x1_ASAP7_75t_R _445_ (
  1010.     .A(_171_),
  1011.     .B(io_wbs_dat_i[9]),
  1012.     .C(_162_),
  1013.     .Y(_186_)
  1014.   );
  1015.   AO21x1_ASAP7_75t_R _446_ (
  1016.     .A1(_167_),
  1017.     .A2(_175_),
  1018.     .B(_025_),
  1019.     .Y(_187_)
  1020.   );
  1021.   AOI21x1_ASAP7_75t_R _447_ (
  1022.     .A1(_186_),
  1023.     .A2(_187_),
  1024.     .B(_177_),
  1025.     .Y(_082_)
  1026.   );
  1027.   NAND3x1_ASAP7_75t_R _448_ (
  1028.     .A(_171_),
  1029.     .B(io_wbs_dat_i[10]),
  1030.     .C(_164_),
  1031.     .Y(_188_)
  1032.   );
  1033.   AO21x1_ASAP7_75t_R _449_ (
  1034.     .A1(_167_),
  1035.     .A2(_175_),
  1036.     .B(_024_),
  1037.     .Y(_189_)
  1038.   );
  1039.   AOI21x1_ASAP7_75t_R _450_ (
  1040.     .A1(_188_),
  1041.     .A2(_189_),
  1042.     .B(_177_),
  1043.     .Y(_083_)
  1044.   );
  1045.   NAND3x1_ASAP7_75t_R _451_ (
  1046.     .A(_171_),
  1047.     .B(io_wbs_dat_i[11]),
  1048.     .C(_164_),
  1049.     .Y(_190_)
  1050.   );
  1051.   BUFx2_ASAP7_75t_R _452_ (
  1052.     .A(_113_),
  1053.     .Y(_191_)
  1054.   );
  1055.   AO21x1_ASAP7_75t_R _453_ (
  1056.     .A1(_191_),
  1057.     .A2(_175_),
  1058.     .B(_023_),
  1059.     .Y(_192_)
  1060.   );
  1061.   AOI21x1_ASAP7_75t_R _454_ (
  1062.     .A1(_190_),
  1063.     .A2(_192_),
  1064.     .B(_177_),
  1065.     .Y(_084_)
  1066.   );
  1067.   NAND3x1_ASAP7_75t_R _455_ (
  1068.     .A(_171_),
  1069.     .B(io_wbs_dat_i[12]),
  1070.     .C(_164_),
  1071.     .Y(_193_)
  1072.   );
  1073.   AO21x1_ASAP7_75t_R _456_ (
  1074.     .A1(_191_),
  1075.     .A2(_175_),
  1076.     .B(_022_),
  1077.     .Y(_194_)
  1078.   );
  1079.   AOI21x1_ASAP7_75t_R _457_ (
  1080.     .A1(_193_),
  1081.     .A2(_194_),
  1082.     .B(_177_),
  1083.     .Y(_085_)
  1084.   );
  1085.   NAND3x1_ASAP7_75t_R _458_ (
  1086.     .A(_122_),
  1087.     .B(io_wbs_dat_i[13]),
  1088.     .C(_164_),
  1089.     .Y(_195_)
  1090.   );
  1091.   AO21x1_ASAP7_75t_R _459_ (
  1092.     .A1(_191_),
  1093.     .A2(_175_),
  1094.     .B(_021_),
  1095.     .Y(_196_)
  1096.   );
  1097.   AOI21x1_ASAP7_75t_R _460_ (
  1098.     .A1(_195_),
  1099.     .A2(_196_),
  1100.     .B(_177_),
  1101.     .Y(_086_)
  1102.   );
  1103.   NAND3x1_ASAP7_75t_R _461_ (
  1104.     .A(_122_),
  1105.     .B(io_wbs_dat_i[14]),
  1106.     .C(_164_),
  1107.     .Y(_197_)
  1108.   );
  1109.   AO21x1_ASAP7_75t_R _462_ (
  1110.     .A1(_191_),
  1111.     .A2(_161_),
  1112.     .B(_020_),
  1113.     .Y(_198_)
  1114.   );
  1115.   BUFx2_ASAP7_75t_R _463_ (
  1116.     .A(_106_),
  1117.     .Y(_199_)
  1118.   );
  1119.   AOI21x1_ASAP7_75t_R _464_ (
  1120.     .A1(_197_),
  1121.     .A2(_198_),
  1122.     .B(_199_),
  1123.     .Y(_087_)
  1124.   );
  1125.   NAND3x1_ASAP7_75t_R _465_ (
  1126.     .A(_122_),
  1127.     .B(io_wbs_dat_i[15]),
  1128.     .C(_164_),
  1129.     .Y(_200_)
  1130.   );
  1131.   AO21x1_ASAP7_75t_R _466_ (
  1132.     .A1(_191_),
  1133.     .A2(_161_),
  1134.     .B(_019_),
  1135.     .Y(_201_)
  1136.   );
  1137.   AOI21x1_ASAP7_75t_R _467_ (
  1138.     .A1(_200_),
  1139.     .A2(_201_),
  1140.     .B(_199_),
  1141.     .Y(_088_)
  1142.   );
  1143.   INVx2_ASAP7_75t_R _468_ (
  1144.     .A(_117_),
  1145.     .Y(_202_)
  1146.   );
  1147.   BUFx2_ASAP7_75t_R _469_ (
  1148.     .A(_202_),
  1149.     .Y(_203_)
  1150.   );
  1151.   BUFx2_ASAP7_75t_R _470_ (
  1152.     .A(_115_),
  1153.     .Y(_204_)
  1154.   );
  1155.   AO21x1_ASAP7_75t_R _471_ (
  1156.     .A1(_203_),
  1157.     .A2(_204_),
  1158.     .B(io_gpio_outport[0]),
  1159.     .Y(_205_)
  1160.   );
  1161.   NAND2x1_ASAP7_75t_R _472_ (
  1162.     .A(_115_),
  1163.     .B(_202_),
  1164.     .Y(_206_)
  1165.   );
  1166.   NOR2x2_ASAP7_75t_R _473_ (
  1167.     .A(_115_),
  1168.     .B(_202_),
  1169.     .Y(_207_)
  1170.   );
  1171.   INVx1_ASAP7_75t_R _474_ (
  1172.     .A(_207_),
  1173.     .Y(_208_)
  1174.   );
  1175.   OA21x2_ASAP7_75t_R _475_ (
  1176.     .A1(_206_),
  1177.     .A2(io_gpio_inport[0]),
  1178.     .B(_208_),
  1179.     .Y(_209_)
  1180.   );
  1181.   BUFx2_ASAP7_75t_R _476_ (
  1182.     .A(_116_),
  1183.     .Y(_210_)
  1184.   );
  1185.   NOR2x1_ASAP7_75t_R _477_ (
  1186.     .A(_117_),
  1187.     .B(_115_),
  1188.     .Y(_211_)
  1189.   );
  1190.   AO21x1_ASAP7_75t_R _478_ (
  1191.     .A1(_210_),
  1192.     .A2(io_gpio_enport[0]),
  1193.     .B(_211_),
  1194.     .Y(_212_)
  1195.   );
  1196.   AOI21x1_ASAP7_75t_R _479_ (
  1197.     .A1(_205_),
  1198.     .A2(_209_),
  1199.     .B(_212_),
  1200.     .Y(_213_)
  1201.   );
  1202.   OAI21x1_ASAP7_75t_R _480_ (
  1203.     .A1(io_wbs_dat_o[0]),
  1204.     .A2(_111_),
  1205.     .B(_112_),
  1206.     .Y(_214_)
  1207.   );
  1208.   AOI21x1_ASAP7_75t_R _481_ (
  1209.     .A1(_111_),
  1210.     .A2(_213_),
  1211.     .B(_214_),
  1212.     .Y(_089_)
  1213.   );
  1214.   INVx1_ASAP7_75t_R _482_ (
  1215.     .A(io_wbs_we_i),
  1216.     .Y(_215_)
  1217.   );
  1218.   BUFx2_ASAP7_75t_R _483_ (
  1219.     .A(_215_),
  1220.     .Y(_216_)
  1221.   );
  1222.   AO21x1_ASAP7_75t_R _484_ (
  1223.     .A1(_191_),
  1224.     .A2(_216_),
  1225.     .B(_017_),
  1226.     .Y(_217_)
  1227.   );
  1228.   NAND3x1_ASAP7_75t_R _485_ (
  1229.     .A(_203_),
  1230.     .B(_204_),
  1231.     .C(io_gpio_inport[1]),
  1232.     .Y(_218_)
  1233.   );
  1234.   BUFx2_ASAP7_75t_R _486_ (
  1235.     .A(_202_),
  1236.     .Y(_219_)
  1237.   );
  1238.   BUFx2_ASAP7_75t_R _487_ (
  1239.     .A(_115_),
  1240.     .Y(_220_)
  1241.   );
  1242.   AO21x1_ASAP7_75t_R _488_ (
  1243.     .A1(_219_),
  1244.     .A2(_220_),
  1245.     .B(_033_),
  1246.     .Y(_221_)
  1247.   );
  1248.   BUFx3_ASAP7_75t_R _489_ (
  1249.     .A(_207_),
  1250.     .Y(_222_)
  1251.   );
  1252.   AOI21x1_ASAP7_75t_R _490_ (
  1253.     .A1(_218_),
  1254.     .A2(_221_),
  1255.     .B(_222_),
  1256.     .Y(_223_)
  1257.   );
  1258.   BUFx2_ASAP7_75t_R _491_ (
  1259.     .A(_117_),
  1260.     .Y(_224_)
  1261.   );
  1262.   AND3x1_ASAP7_75t_R _492_ (
  1263.     .A(_210_),
  1264.     .B(io_gpio_enport[1]),
  1265.     .C(_224_),
  1266.     .Y(_225_)
  1267.   );
  1268.   NOR3x2_ASAP7_75t_R _493_ (
  1269.     .A(_105_),
  1270.     .B(_110_),
  1271.     .C(_211_),
  1272.     .Y(_226_)
  1273.   );
  1274.   BUFx4f_ASAP7_75t_R _494_ (
  1275.     .A(_226_),
  1276.     .Y(_227_)
  1277.   );
  1278.   OAI21x1_ASAP7_75t_R _495_ (
  1279.     .A1(_223_),
  1280.     .A2(_225_),
  1281.     .B(_227_),
  1282.     .Y(_228_)
  1283.   );
  1284.   AOI21x1_ASAP7_75t_R _496_ (
  1285.     .A1(_217_),
  1286.     .A2(_228_),
  1287.     .B(_199_),
  1288.     .Y(_090_)
  1289.   );
  1290.   AO21x1_ASAP7_75t_R _497_ (
  1291.     .A1(_191_),
  1292.     .A2(_216_),
  1293.     .B(_016_),
  1294.     .Y(_229_)
  1295.   );
  1296.   NAND3x1_ASAP7_75t_R _498_ (
  1297.     .A(_203_),
  1298.     .B(_204_),
  1299.     .C(io_gpio_inport[2]),
  1300.     .Y(_230_)
  1301.   );
  1302.   AO21x1_ASAP7_75t_R _499_ (
  1303.     .A1(_219_),
  1304.     .A2(_220_),
  1305.     .B(_032_),
  1306.     .Y(_231_)
  1307.   );
  1308.   AOI21x1_ASAP7_75t_R _500_ (
  1309.     .A1(_230_),
  1310.     .A2(_231_),
  1311.     .B(_222_),
  1312.     .Y(_232_)
  1313.   );
  1314.   AND3x1_ASAP7_75t_R _501_ (
  1315.     .A(_210_),
  1316.     .B(io_gpio_enport[2]),
  1317.     .C(_224_),
  1318.     .Y(_233_)
  1319.   );
  1320.   OAI21x1_ASAP7_75t_R _502_ (
  1321.     .A1(_232_),
  1322.     .A2(_233_),
  1323.     .B(_227_),
  1324.     .Y(_234_)
  1325.   );
  1326.   AOI21x1_ASAP7_75t_R _503_ (
  1327.     .A1(_229_),
  1328.     .A2(_234_),
  1329.     .B(_199_),
  1330.     .Y(_091_)
  1331.   );
  1332.   AO21x1_ASAP7_75t_R _504_ (
  1333.     .A1(_191_),
  1334.     .A2(_216_),
  1335.     .B(_015_),
  1336.     .Y(_235_)
  1337.   );
  1338.   NAND3x1_ASAP7_75t_R _505_ (
  1339.     .A(_203_),
  1340.     .B(_204_),
  1341.     .C(io_gpio_inport[3]),
  1342.     .Y(_236_)
  1343.   );
  1344.   AO21x1_ASAP7_75t_R _506_ (
  1345.     .A1(_219_),
  1346.     .A2(_220_),
  1347.     .B(_031_),
  1348.     .Y(_237_)
  1349.   );
  1350.   AOI21x1_ASAP7_75t_R _507_ (
  1351.     .A1(_236_),
  1352.     .A2(_237_),
  1353.     .B(_222_),
  1354.     .Y(_238_)
  1355.   );
  1356.   AND3x1_ASAP7_75t_R _508_ (
  1357.     .A(_210_),
  1358.     .B(io_gpio_enport[3]),
  1359.     .C(_224_),
  1360.     .Y(_239_)
  1361.   );
  1362.   OAI21x1_ASAP7_75t_R _509_ (
  1363.     .A1(_238_),
  1364.     .A2(_239_),
  1365.     .B(_227_),
  1366.     .Y(_240_)
  1367.   );
  1368.   AOI21x1_ASAP7_75t_R _510_ (
  1369.     .A1(_235_),
  1370.     .A2(_240_),
  1371.     .B(_199_),
  1372.     .Y(_092_)
  1373.   );
  1374.   AO21x1_ASAP7_75t_R _511_ (
  1375.     .A1(_191_),
  1376.     .A2(_216_),
  1377.     .B(_014_),
  1378.     .Y(_241_)
  1379.   );
  1380.   NAND3x1_ASAP7_75t_R _512_ (
  1381.     .A(_203_),
  1382.     .B(_204_),
  1383.     .C(io_gpio_inport[4]),
  1384.     .Y(_242_)
  1385.   );
  1386.   AO21x1_ASAP7_75t_R _513_ (
  1387.     .A1(_219_),
  1388.     .A2(_220_),
  1389.     .B(_030_),
  1390.     .Y(_243_)
  1391.   );
  1392.   AOI21x1_ASAP7_75t_R _514_ (
  1393.     .A1(_242_),
  1394.     .A2(_243_),
  1395.     .B(_222_),
  1396.     .Y(_244_)
  1397.   );
  1398.   AND3x1_ASAP7_75t_R _515_ (
  1399.     .A(_210_),
  1400.     .B(io_gpio_enport[4]),
  1401.     .C(_224_),
  1402.     .Y(_245_)
  1403.   );
  1404.   OAI21x1_ASAP7_75t_R _516_ (
  1405.     .A1(_244_),
  1406.     .A2(_245_),
  1407.     .B(_227_),
  1408.     .Y(_246_)
  1409.   );
  1410.   AOI21x1_ASAP7_75t_R _517_ (
  1411.     .A1(_241_),
  1412.     .A2(_246_),
  1413.     .B(_199_),
  1414.     .Y(_093_)
  1415.   );
  1416.   AO21x1_ASAP7_75t_R _518_ (
  1417.     .A1(_191_),
  1418.     .A2(_216_),
  1419.     .B(_013_),
  1420.     .Y(_247_)
  1421.   );
  1422.   NAND3x1_ASAP7_75t_R _519_ (
  1423.     .A(_203_),
  1424.     .B(_204_),
  1425.     .C(io_gpio_inport[5]),
  1426.     .Y(_248_)
  1427.   );
  1428.   BUFx2_ASAP7_75t_R _520_ (
  1429.     .A(_202_),
  1430.     .Y(_249_)
  1431.   );
  1432.   BUFx2_ASAP7_75t_R _521_ (
  1433.     .A(_115_),
  1434.     .Y(_250_)
  1435.   );
  1436.   AO21x1_ASAP7_75t_R _522_ (
  1437.     .A1(_249_),
  1438.     .A2(_250_),
  1439.     .B(_029_),
  1440.     .Y(_251_)
  1441.   );
  1442.   AOI21x1_ASAP7_75t_R _523_ (
  1443.     .A1(_248_),
  1444.     .A2(_251_),
  1445.     .B(_222_),
  1446.     .Y(_252_)
  1447.   );
  1448.   AND3x1_ASAP7_75t_R _524_ (
  1449.     .A(_210_),
  1450.     .B(io_gpio_enport[5]),
  1451.     .C(_224_),
  1452.     .Y(_253_)
  1453.   );
  1454.   OAI21x1_ASAP7_75t_R _525_ (
  1455.     .A1(_252_),
  1456.     .A2(_253_),
  1457.     .B(_227_),
  1458.     .Y(_254_)
  1459.   );
  1460.   AOI21x1_ASAP7_75t_R _526_ (
  1461.     .A1(_247_),
  1462.     .A2(_254_),
  1463.     .B(_199_),
  1464.     .Y(_094_)
  1465.   );
  1466.   BUFx2_ASAP7_75t_R _527_ (
  1467.     .A(_113_),
  1468.     .Y(_255_)
  1469.   );
  1470.   AO21x1_ASAP7_75t_R _528_ (
  1471.     .A1(_255_),
  1472.     .A2(_216_),
  1473.     .B(_012_),
  1474.     .Y(_256_)
  1475.   );
  1476.   NAND3x1_ASAP7_75t_R _529_ (
  1477.     .A(_203_),
  1478.     .B(_204_),
  1479.     .C(io_gpio_inport[6]),
  1480.     .Y(_257_)
  1481.   );
  1482.   AO21x1_ASAP7_75t_R _530_ (
  1483.     .A1(_249_),
  1484.     .A2(_250_),
  1485.     .B(_028_),
  1486.     .Y(_258_)
  1487.   );
  1488.   AOI21x1_ASAP7_75t_R _531_ (
  1489.     .A1(_257_),
  1490.     .A2(_258_),
  1491.     .B(_222_),
  1492.     .Y(_259_)
  1493.   );
  1494.   AND3x1_ASAP7_75t_R _532_ (
  1495.     .A(_210_),
  1496.     .B(io_gpio_enport[6]),
  1497.     .C(_224_),
  1498.     .Y(_260_)
  1499.   );
  1500.   OAI21x1_ASAP7_75t_R _533_ (
  1501.     .A1(_259_),
  1502.     .A2(_260_),
  1503.     .B(_227_),
  1504.     .Y(_261_)
  1505.   );
  1506.   AOI21x1_ASAP7_75t_R _534_ (
  1507.     .A1(_256_),
  1508.     .A2(_261_),
  1509.     .B(_199_),
  1510.     .Y(_095_)
  1511.   );
  1512.   AO21x1_ASAP7_75t_R _535_ (
  1513.     .A1(_255_),
  1514.     .A2(_216_),
  1515.     .B(_011_),
  1516.     .Y(_262_)
  1517.   );
  1518.   NAND3x1_ASAP7_75t_R _536_ (
  1519.     .A(_203_),
  1520.     .B(_204_),
  1521.     .C(io_gpio_inport[7]),
  1522.     .Y(_263_)
  1523.   );
  1524.   AO21x1_ASAP7_75t_R _537_ (
  1525.     .A1(_249_),
  1526.     .A2(_250_),
  1527.     .B(_027_),
  1528.     .Y(_264_)
  1529.   );
  1530.   AOI21x1_ASAP7_75t_R _538_ (
  1531.     .A1(_263_),
  1532.     .A2(_264_),
  1533.     .B(_222_),
  1534.     .Y(_265_)
  1535.   );
  1536.   AND3x1_ASAP7_75t_R _539_ (
  1537.     .A(_210_),
  1538.     .B(io_gpio_enport[7]),
  1539.     .C(_224_),
  1540.     .Y(_266_)
  1541.   );
  1542.   OAI21x1_ASAP7_75t_R _540_ (
  1543.     .A1(_265_),
  1544.     .A2(_266_),
  1545.     .B(_227_),
  1546.     .Y(_267_)
  1547.   );
  1548.   AOI21x1_ASAP7_75t_R _541_ (
  1549.     .A1(_262_),
  1550.     .A2(_267_),
  1551.     .B(_199_),
  1552.     .Y(_096_)
  1553.   );
  1554.   AO21x1_ASAP7_75t_R _542_ (
  1555.     .A1(_255_),
  1556.     .A2(_216_),
  1557.     .B(_010_),
  1558.     .Y(_268_)
  1559.   );
  1560.   NAND3x1_ASAP7_75t_R _543_ (
  1561.     .A(_203_),
  1562.     .B(_204_),
  1563.     .C(io_gpio_inport[8]),
  1564.     .Y(_269_)
  1565.   );
  1566.   AO21x1_ASAP7_75t_R _544_ (
  1567.     .A1(_249_),
  1568.     .A2(_250_),
  1569.     .B(_026_),
  1570.     .Y(_270_)
  1571.   );
  1572.   AOI21x1_ASAP7_75t_R _545_ (
  1573.     .A1(_269_),
  1574.     .A2(_270_),
  1575.     .B(_222_),
  1576.     .Y(_271_)
  1577.   );
  1578.   AND3x1_ASAP7_75t_R _546_ (
  1579.     .A(_210_),
  1580.     .B(io_gpio_enport[8]),
  1581.     .C(_224_),
  1582.     .Y(_272_)
  1583.   );
  1584.   OAI21x1_ASAP7_75t_R _547_ (
  1585.     .A1(_271_),
  1586.     .A2(_272_),
  1587.     .B(_227_),
  1588.     .Y(_273_)
  1589.   );
  1590.   AOI21x1_ASAP7_75t_R _548_ (
  1591.     .A1(_268_),
  1592.     .A2(_273_),
  1593.     .B(_199_),
  1594.     .Y(_097_)
  1595.   );
  1596.   AO21x1_ASAP7_75t_R _549_ (
  1597.     .A1(_255_),
  1598.     .A2(_216_),
  1599.     .B(_009_),
  1600.     .Y(_274_)
  1601.   );
  1602.   NAND3x1_ASAP7_75t_R _550_ (
  1603.     .A(_203_),
  1604.     .B(_204_),
  1605.     .C(io_gpio_inport[9]),
  1606.     .Y(_275_)
  1607.   );
  1608.   AO21x1_ASAP7_75t_R _551_ (
  1609.     .A1(_249_),
  1610.     .A2(_250_),
  1611.     .B(_025_),
  1612.     .Y(_276_)
  1613.   );
  1614.   AOI21x1_ASAP7_75t_R _552_ (
  1615.     .A1(_275_),
  1616.     .A2(_276_),
  1617.     .B(_222_),
  1618.     .Y(_277_)
  1619.   );
  1620.   AND3x1_ASAP7_75t_R _553_ (
  1621.     .A(_210_),
  1622.     .B(io_gpio_enport[9]),
  1623.     .C(_224_),
  1624.     .Y(_278_)
  1625.   );
  1626.   OAI21x1_ASAP7_75t_R _554_ (
  1627.     .A1(_277_),
  1628.     .A2(_278_),
  1629.     .B(_227_),
  1630.     .Y(_279_)
  1631.   );
  1632.   AOI21x1_ASAP7_75t_R _555_ (
  1633.     .A1(_274_),
  1634.     .A2(_279_),
  1635.     .B(_107_),
  1636.     .Y(_098_)
  1637.   );
  1638.   AO21x1_ASAP7_75t_R _556_ (
  1639.     .A1(_255_),
  1640.     .A2(_216_),
  1641.     .B(_008_),
  1642.     .Y(_280_)
  1643.   );
  1644.   NAND3x1_ASAP7_75t_R _557_ (
  1645.     .A(_219_),
  1646.     .B(_220_),
  1647.     .C(io_gpio_inport[10]),
  1648.     .Y(_281_)
  1649.   );
  1650.   AO21x1_ASAP7_75t_R _558_ (
  1651.     .A1(_249_),
  1652.     .A2(_250_),
  1653.     .B(_024_),
  1654.     .Y(_282_)
  1655.   );
  1656.   AOI21x1_ASAP7_75t_R _559_ (
  1657.     .A1(_281_),
  1658.     .A2(_282_),
  1659.     .B(_222_),
  1660.     .Y(_283_)
  1661.   );
  1662.   AND3x1_ASAP7_75t_R _560_ (
  1663.     .A(_116_),
  1664.     .B(io_gpio_enport[10]),
  1665.     .C(_224_),
  1666.     .Y(_284_)
  1667.   );
  1668.   OAI21x1_ASAP7_75t_R _561_ (
  1669.     .A1(_283_),
  1670.     .A2(_284_),
  1671.     .B(_227_),
  1672.     .Y(_285_)
  1673.   );
  1674.   AOI21x1_ASAP7_75t_R _562_ (
  1675.     .A1(_280_),
  1676.     .A2(_285_),
  1677.     .B(_107_),
  1678.     .Y(_099_)
  1679.   );
  1680.   AO21x1_ASAP7_75t_R _563_ (
  1681.     .A1(_255_),
  1682.     .A2(_215_),
  1683.     .B(_007_),
  1684.     .Y(_286_)
  1685.   );
  1686.   NAND3x1_ASAP7_75t_R _564_ (
  1687.     .A(_219_),
  1688.     .B(_220_),
  1689.     .C(io_gpio_inport[11]),
  1690.     .Y(_287_)
  1691.   );
  1692.   AO21x1_ASAP7_75t_R _565_ (
  1693.     .A1(_249_),
  1694.     .A2(_250_),
  1695.     .B(_023_),
  1696.     .Y(_288_)
  1697.   );
  1698.   AOI21x1_ASAP7_75t_R _566_ (
  1699.     .A1(_287_),
  1700.     .A2(_288_),
  1701.     .B(_207_),
  1702.     .Y(_289_)
  1703.   );
  1704.   AND3x1_ASAP7_75t_R _567_ (
  1705.     .A(_116_),
  1706.     .B(io_gpio_enport[11]),
  1707.     .C(_117_),
  1708.     .Y(_290_)
  1709.   );
  1710.   OAI21x1_ASAP7_75t_R _568_ (
  1711.     .A1(_289_),
  1712.     .A2(_290_),
  1713.     .B(_226_),
  1714.     .Y(_291_)
  1715.   );
  1716.   AOI21x1_ASAP7_75t_R _569_ (
  1717.     .A1(_286_),
  1718.     .A2(_291_),
  1719.     .B(_107_),
  1720.     .Y(_100_)
  1721.   );
  1722.   AO21x1_ASAP7_75t_R _570_ (
  1723.     .A1(_255_),
  1724.     .A2(_215_),
  1725.     .B(_006_),
  1726.     .Y(_292_)
  1727.   );
  1728.   NAND3x1_ASAP7_75t_R _571_ (
  1729.     .A(_219_),
  1730.     .B(_220_),
  1731.     .C(io_gpio_inport[12]),
  1732.     .Y(_293_)
  1733.   );
  1734.   AO21x1_ASAP7_75t_R _572_ (
  1735.     .A1(_249_),
  1736.     .A2(_250_),
  1737.     .B(_022_),
  1738.     .Y(_294_)
  1739.   );
  1740.   AOI21x1_ASAP7_75t_R _573_ (
  1741.     .A1(_293_),
  1742.     .A2(_294_),
  1743.     .B(_207_),
  1744.     .Y(_295_)
  1745.   );
  1746.   AND3x1_ASAP7_75t_R _574_ (
  1747.     .A(_116_),
  1748.     .B(io_gpio_enport[12]),
  1749.     .C(_117_),
  1750.     .Y(_296_)
  1751.   );
  1752.   OAI21x1_ASAP7_75t_R _575_ (
  1753.     .A1(_295_),
  1754.     .A2(_296_),
  1755.     .B(_226_),
  1756.     .Y(_297_)
  1757.   );
  1758.   AOI21x1_ASAP7_75t_R _576_ (
  1759.     .A1(_292_),
  1760.     .A2(_297_),
  1761.     .B(_107_),
  1762.     .Y(_101_)
  1763.   );
  1764.   AO21x1_ASAP7_75t_R _577_ (
  1765.     .A1(_255_),
  1766.     .A2(_215_),
  1767.     .B(_005_),
  1768.     .Y(_298_)
  1769.   );
  1770.   NAND3x1_ASAP7_75t_R _578_ (
  1771.     .A(_219_),
  1772.     .B(_220_),
  1773.     .C(io_gpio_inport[13]),
  1774.     .Y(_299_)
  1775.   );
  1776.   AO21x1_ASAP7_75t_R _579_ (
  1777.     .A1(_249_),
  1778.     .A2(_250_),
  1779.     .B(_021_),
  1780.     .Y(_300_)
  1781.   );
  1782.   AOI21x1_ASAP7_75t_R _580_ (
  1783.     .A1(_299_),
  1784.     .A2(_300_),
  1785.     .B(_207_),
  1786.     .Y(_301_)
  1787.   );
  1788.   AND3x1_ASAP7_75t_R _581_ (
  1789.     .A(_116_),
  1790.     .B(io_gpio_enport[13]),
  1791.     .C(_117_),
  1792.     .Y(_302_)
  1793.   );
  1794.   OAI21x1_ASAP7_75t_R _582_ (
  1795.     .A1(_301_),
  1796.     .A2(_302_),
  1797.     .B(_226_),
  1798.     .Y(_303_)
  1799.   );
  1800.   AOI21x1_ASAP7_75t_R _583_ (
  1801.     .A1(_298_),
  1802.     .A2(_303_),
  1803.     .B(_107_),
  1804.     .Y(_102_)
  1805.   );
  1806.   AO21x1_ASAP7_75t_R _584_ (
  1807.     .A1(_255_),
  1808.     .A2(_215_),
  1809.     .B(_004_),
  1810.     .Y(_304_)
  1811.   );
  1812.   NAND3x1_ASAP7_75t_R _585_ (
  1813.     .A(_219_),
  1814.     .B(_220_),
  1815.     .C(io_gpio_inport[14]),
  1816.     .Y(_305_)
  1817.   );
  1818.   AO21x1_ASAP7_75t_R _586_ (
  1819.     .A1(_249_),
  1820.     .A2(_250_),
  1821.     .B(_020_),
  1822.     .Y(_306_)
  1823.   );
  1824.   AOI21x1_ASAP7_75t_R _587_ (
  1825.     .A1(_305_),
  1826.     .A2(_306_),
  1827.     .B(_207_),
  1828.     .Y(_307_)
  1829.   );
  1830.   AND3x1_ASAP7_75t_R _588_ (
  1831.     .A(_116_),
  1832.     .B(io_gpio_enport[14]),
  1833.     .C(_117_),
  1834.     .Y(_308_)
  1835.   );
  1836.   OAI21x1_ASAP7_75t_R _589_ (
  1837.     .A1(_307_),
  1838.     .A2(_308_),
  1839.     .B(_226_),
  1840.     .Y(_309_)
  1841.   );
  1842.   AOI21x1_ASAP7_75t_R _590_ (
  1843.     .A1(_304_),
  1844.     .A2(_309_),
  1845.     .B(_107_),
  1846.     .Y(_103_)
  1847.   );
  1848.   AO21x1_ASAP7_75t_R _591_ (
  1849.     .A1(_255_),
  1850.     .A2(_215_),
  1851.     .B(_003_),
  1852.     .Y(_310_)
  1853.   );
  1854.   NAND3x1_ASAP7_75t_R _592_ (
  1855.     .A(_219_),
  1856.     .B(_220_),
  1857.     .C(io_gpio_inport[15]),
  1858.     .Y(_311_)
  1859.   );
  1860.   AO21x1_ASAP7_75t_R _593_ (
  1861.     .A1(_202_),
  1862.     .A2(_115_),
  1863.     .B(_019_),
  1864.     .Y(_312_)
  1865.   );
  1866.   AOI21x1_ASAP7_75t_R _594_ (
  1867.     .A1(_311_),
  1868.     .A2(_312_),
  1869.     .B(_207_),
  1870.     .Y(_313_)
  1871.   );
  1872.   AND3x1_ASAP7_75t_R _595_ (
  1873.     .A(_116_),
  1874.     .B(io_gpio_enport[15]),
  1875.     .C(_117_),
  1876.     .Y(_314_)
  1877.   );
  1878.   OAI21x1_ASAP7_75t_R _596_ (
  1879.     .A1(_313_),
  1880.     .A2(_314_),
  1881.     .B(_226_),
  1882.     .Y(_315_)
  1883.   );
  1884.   AOI21x1_ASAP7_75t_R _597_ (
  1885.     .A1(_310_),
  1886.     .A2(_315_),
  1887.     .B(_107_),
  1888.     .Y(_104_)
  1889.   );
  1890.   INVx1_ASAP7_75t_R _598_ (
  1891.     .A(_051_),
  1892.     .Y(io_wbs_ack_o)
  1893.   );
  1894.   NAND3x2_ASAP7_75t_R _599_ (
  1895.     .A(io_wbs_cyc_i),
  1896.     .B(io_wbs_stb_i),
  1897.     .C(_051_),
  1898.     .Y(_105_)
  1899.   );
  1900.   BUFx2_ASAP7_75t_R _600_ (
  1901.     .A(reset),
  1902.     .Y(_106_)
  1903.   );
  1904.   BUFx2_ASAP7_75t_R _601_ (
  1905.     .A(_106_),
  1906.     .Y(_107_)
  1907.   );
  1908.   INVx1_ASAP7_75t_R _602_ (
  1909.     .A(_052_),
  1910.     .Y(_108_)
  1911.   );
  1912.   INVx1_ASAP7_75t_R _603_ (
  1913.     .A(_053_),
  1914.     .Y(_109_)
  1915.   );
  1916.   OR4x1_ASAP7_75t_R _604_ (
  1917.     .A(_105_),
  1918.     .B(_107_),
  1919.     .C(_108_),
  1920.     .D(_109_),
  1921.     .Y(_002_)
  1922.   );
  1923.   INVx1_ASAP7_75t_R _605_ (
  1924.     .A(_034_),
  1925.     .Y(io_gpio_outport[0])
  1926.   );
  1927.   INVx1_ASAP7_75t_R _606_ (
  1928.     .A(_050_),
  1929.     .Y(io_gpio_enport[0])
  1930.   );
  1931.   INVx1_ASAP7_75t_R _607_ (
  1932.     .A(_033_),
  1933.     .Y(io_gpio_outport[1])
  1934.   );
  1935.   INVx1_ASAP7_75t_R _608_ (
  1936.     .A(_049_),
  1937.     .Y(io_gpio_enport[1])
  1938.   );
  1939.   INVx1_ASAP7_75t_R _609_ (
  1940.     .A(_032_),
  1941.     .Y(io_gpio_outport[2])
  1942.   );
  1943.   INVx1_ASAP7_75t_R _610_ (
  1944.     .A(_048_),
  1945.     .Y(io_gpio_enport[2])
  1946.   );
  1947.   INVx1_ASAP7_75t_R _611_ (
  1948.     .A(_031_),
  1949.     .Y(io_gpio_outport[3])
  1950.   );
  1951.   INVx1_ASAP7_75t_R _612_ (
  1952.     .A(_047_),
  1953.     .Y(io_gpio_enport[3])
  1954.   );
  1955.   INVx1_ASAP7_75t_R _613_ (
  1956.     .A(_030_),
  1957.     .Y(io_gpio_outport[4])
  1958.   );
  1959.   INVx1_ASAP7_75t_R _614_ (
  1960.     .A(_046_),
  1961.     .Y(io_gpio_enport[4])
  1962.   );
  1963.   INVx1_ASAP7_75t_R _615_ (
  1964.     .A(_029_),
  1965.     .Y(io_gpio_outport[5])
  1966.   );
  1967.   INVx1_ASAP7_75t_R _616_ (
  1968.     .A(_045_),
  1969.     .Y(io_gpio_enport[5])
  1970.   );
  1971.   INVx1_ASAP7_75t_R _617_ (
  1972.     .A(_028_),
  1973.     .Y(io_gpio_outport[6])
  1974.   );
  1975.   INVx1_ASAP7_75t_R _618_ (
  1976.     .A(_044_),
  1977.     .Y(io_gpio_enport[6])
  1978.   );
  1979.   INVx1_ASAP7_75t_R _619_ (
  1980.     .A(_027_),
  1981.     .Y(io_gpio_outport[7])
  1982.   );
  1983.   INVx1_ASAP7_75t_R _620_ (
  1984.     .A(_043_),
  1985.     .Y(io_gpio_enport[7])
  1986.   );
  1987.   INVx1_ASAP7_75t_R _621_ (
  1988.     .A(_026_),
  1989.     .Y(io_gpio_outport[8])
  1990.   );
  1991.   INVx1_ASAP7_75t_R _622_ (
  1992.     .A(_042_),
  1993.     .Y(io_gpio_enport[8])
  1994.   );
  1995.   INVx1_ASAP7_75t_R _623_ (
  1996.     .A(_025_),
  1997.     .Y(io_gpio_outport[9])
  1998.   );
  1999.   INVx1_ASAP7_75t_R _624_ (
  2000.     .A(_041_),
  2001.     .Y(io_gpio_enport[9])
  2002.   );
  2003.   INVx1_ASAP7_75t_R _625_ (
  2004.     .A(_024_),
  2005.     .Y(io_gpio_outport[10])
  2006.   );
  2007.   INVx1_ASAP7_75t_R _626_ (
  2008.     .A(_040_),
  2009.     .Y(io_gpio_enport[10])
  2010.   );
  2011.   INVx1_ASAP7_75t_R _627_ (
  2012.     .A(_023_),
  2013.     .Y(io_gpio_outport[11])
  2014.   );
  2015.   INVx1_ASAP7_75t_R _628_ (
  2016.     .A(_039_),
  2017.     .Y(io_gpio_enport[11])
  2018.   );
  2019.   DFFLQNx1_ASAP7_75t_R _629_ (
  2020.     .CLK(_055_),
  2021.     .D(_002_),
  2022.     .QN(_054_)
  2023.   );
  2024.   DFFLQNx1_ASAP7_75t_R _630_ (
  2025.     .CLK(_055_),
  2026.     .D(_000_),
  2027.     .QN(_053_)
  2028.   );
  2029.   DFFLQNx1_ASAP7_75t_R _631_ (
  2030.     .CLK(_055_),
  2031.     .D(_001_),
  2032.     .QN(_052_)
  2033.   );
  2034.   DFFLQNx1_ASAP7_75t_R _632_ (
  2035.     .CLK(_055_),
  2036.     .D(_056_),
  2037.     .QN(_051_)
  2038.   );
  2039.   DFFLQNx1_ASAP7_75t_R _633_ (
  2040.     .CLK(_055_),
  2041.     .D(_057_),
  2042.     .QN(_050_)
  2043.   );
  2044.   DFFLQNx1_ASAP7_75t_R _634_ (
  2045.     .CLK(_055_),
  2046.     .D(_058_),
  2047.     .QN(_049_)
  2048.   );
  2049.   DFFLQNx1_ASAP7_75t_R _635_ (
  2050.     .CLK(_055_),
  2051.     .D(_059_),
  2052.     .QN(_048_)
  2053.   );
  2054.   DFFLQNx1_ASAP7_75t_R _636_ (
  2055.     .CLK(_055_),
  2056.     .D(_060_),
  2057.     .QN(_047_)
  2058.   );
  2059.   DFFLQNx1_ASAP7_75t_R _637_ (
  2060.     .CLK(_055_),
  2061.     .D(_061_),
  2062.     .QN(_046_)
  2063.   );
  2064.   DFFLQNx1_ASAP7_75t_R _638_ (
  2065.     .CLK(_055_),
  2066.     .D(_062_),
  2067.     .QN(_045_)
  2068.   );
  2069.   DFFLQNx1_ASAP7_75t_R _639_ (
  2070.     .CLK(_055_),
  2071.     .D(_063_),
  2072.     .QN(_044_)
  2073.   );
  2074.   DFFLQNx1_ASAP7_75t_R _640_ (
  2075.     .CLK(_055_),
  2076.     .D(_064_),
  2077.     .QN(_043_)
  2078.   );
  2079.   DFFLQNx1_ASAP7_75t_R _641_ (
  2080.     .CLK(_055_),
  2081.     .D(_065_),
  2082.     .QN(_042_)
  2083.   );
  2084.   DFFLQNx1_ASAP7_75t_R _642_ (
  2085.     .CLK(_055_),
  2086.     .D(_066_),
  2087.     .QN(_041_)
  2088.   );
  2089.   DFFLQNx1_ASAP7_75t_R _643_ (
  2090.     .CLK(_055_),
  2091.     .D(_067_),
  2092.     .QN(_040_)
  2093.   );
  2094.   DFFLQNx1_ASAP7_75t_R _644_ (
  2095.     .CLK(_055_),
  2096.     .D(_068_),
  2097.     .QN(_039_)
  2098.   );
  2099.   DFFLQNx1_ASAP7_75t_R _645_ (
  2100.     .CLK(_055_),
  2101.     .D(_069_),
  2102.     .QN(_038_)
  2103.   );
  2104.   DFFLQNx1_ASAP7_75t_R _646_ (
  2105.     .CLK(_055_),
  2106.     .D(_070_),
  2107.     .QN(_037_)
  2108.   );
  2109.   DFFLQNx1_ASAP7_75t_R _647_ (
  2110.     .CLK(_055_),
  2111.     .D(_071_),
  2112.     .QN(_036_)
  2113.   );
  2114.   DFFLQNx1_ASAP7_75t_R _648_ (
  2115.     .CLK(_055_),
  2116.     .D(_072_),
  2117.     .QN(_035_)
  2118.   );
  2119.   DFFLQNx1_ASAP7_75t_R _649_ (
  2120.     .CLK(_055_),
  2121.     .D(_073_),
  2122.     .QN(_034_)
  2123.   );
  2124.   DFFLQNx1_ASAP7_75t_R _650_ (
  2125.     .CLK(_055_),
  2126.     .D(_074_),
  2127.     .QN(_033_)
  2128.   );
  2129.   DFFLQNx1_ASAP7_75t_R _651_ (
  2130.     .CLK(_055_),
  2131.     .D(_075_),
  2132.     .QN(_032_)
  2133.   );
  2134.   DFFLQNx1_ASAP7_75t_R _652_ (
  2135.     .CLK(_055_),
  2136.     .D(_076_),
  2137.     .QN(_031_)
  2138.   );
  2139.   DFFLQNx1_ASAP7_75t_R _653_ (
  2140.     .CLK(_055_),
  2141.     .D(_077_),
  2142.     .QN(_030_)
  2143.   );
  2144.   DFFLQNx1_ASAP7_75t_R _654_ (
  2145.     .CLK(_055_),
  2146.     .D(_078_),
  2147.     .QN(_029_)
  2148.   );
  2149.   DFFLQNx1_ASAP7_75t_R _655_ (
  2150.     .CLK(_055_),
  2151.     .D(_079_),
  2152.     .QN(_028_)
  2153.   );
  2154.   DFFLQNx1_ASAP7_75t_R _656_ (
  2155.     .CLK(_055_),
  2156.     .D(_080_),
  2157.     .QN(_027_)
  2158.   );
  2159.   DFFLQNx1_ASAP7_75t_R _657_ (
  2160.     .CLK(_055_),
  2161.     .D(_081_),
  2162.     .QN(_026_)
  2163.   );
  2164.   DFFLQNx1_ASAP7_75t_R _658_ (
  2165.     .CLK(_055_),
  2166.     .D(_082_),
  2167.     .QN(_025_)
  2168.   );
  2169.   DFFLQNx1_ASAP7_75t_R _659_ (
  2170.     .CLK(_055_),
  2171.     .D(_083_),
  2172.     .QN(_024_)
  2173.   );
  2174.   DFFLQNx1_ASAP7_75t_R _660_ (
  2175.     .CLK(_055_),
  2176.     .D(_084_),
  2177.     .QN(_023_)
  2178.   );
  2179.   DFFLQNx1_ASAP7_75t_R _661_ (
  2180.     .CLK(_055_),
  2181.     .D(_085_),
  2182.     .QN(_022_)
  2183.   );
  2184.   DFFLQNx1_ASAP7_75t_R _662_ (
  2185.     .CLK(_055_),
  2186.     .D(_086_),
  2187.     .QN(_021_)
  2188.   );
  2189.   DFFLQNx1_ASAP7_75t_R _663_ (
  2190.     .CLK(_055_),
  2191.     .D(_087_),
  2192.     .QN(_020_)
  2193.   );
  2194.   DFFLQNx1_ASAP7_75t_R _664_ (
  2195.     .CLK(_055_),
  2196.     .D(_088_),
  2197.     .QN(_019_)
  2198.   );
  2199.   DFFLQNx1_ASAP7_75t_R _665_ (
  2200.     .CLK(_055_),
  2201.     .D(_089_),
  2202.     .QN(_018_)
  2203.   );
  2204.   DFFLQNx1_ASAP7_75t_R _666_ (
  2205.     .CLK(_055_),
  2206.     .D(_090_),
  2207.     .QN(_017_)
  2208.   );
  2209.   DFFLQNx1_ASAP7_75t_R _667_ (
  2210.     .CLK(_055_),
  2211.     .D(_091_),
  2212.     .QN(_016_)
  2213.   );
  2214.   DFFLQNx1_ASAP7_75t_R _668_ (
  2215.     .CLK(_055_),
  2216.     .D(_092_),
  2217.     .QN(_015_)
  2218.   );
  2219.   DFFLQNx1_ASAP7_75t_R _669_ (
  2220.     .CLK(_055_),
  2221.     .D(_093_),
  2222.     .QN(_014_)
  2223.   );
  2224.   DFFLQNx1_ASAP7_75t_R _670_ (
  2225.     .CLK(_055_),
  2226.     .D(_094_),
  2227.     .QN(_013_)
  2228.   );
  2229.   DFFLQNx1_ASAP7_75t_R _671_ (
  2230.     .CLK(_055_),
  2231.     .D(_095_),
  2232.     .QN(_012_)
  2233.   );
  2234.   DFFLQNx1_ASAP7_75t_R _672_ (
  2235.     .CLK(_055_),
  2236.     .D(_096_),
  2237.     .QN(_011_)
  2238.   );
  2239.   DFFLQNx1_ASAP7_75t_R _673_ (
  2240.     .CLK(_055_),
  2241.     .D(_097_),
  2242.     .QN(_010_)
  2243.   );
  2244.   DFFLQNx1_ASAP7_75t_R _674_ (
  2245.     .CLK(_055_),
  2246.     .D(_098_),
  2247.     .QN(_009_)
  2248.   );
  2249.   DFFLQNx1_ASAP7_75t_R _675_ (
  2250.     .CLK(_055_),
  2251.     .D(_099_),
  2252.     .QN(_008_)
  2253.   );
  2254.   DFFLQNx1_ASAP7_75t_R _676_ (
  2255.     .CLK(_055_),
  2256.     .D(_100_),
  2257.     .QN(_007_)
  2258.   );
  2259.   DFFLQNx1_ASAP7_75t_R _677_ (
  2260.     .CLK(_055_),
  2261.     .D(_101_),
  2262.     .QN(_006_)
  2263.   );
  2264.   DFFLQNx1_ASAP7_75t_R _678_ (
  2265.     .CLK(_055_),
  2266.     .D(_102_),
  2267.     .QN(_005_)
  2268.   );
  2269.   DFFLQNx1_ASAP7_75t_R _679_ (
  2270.     .CLK(_055_),
  2271.     .D(_103_),
  2272.     .QN(_004_)
  2273.   );
  2274.   DFFLQNx1_ASAP7_75t_R _680_ (
  2275.     .CLK(_055_),
  2276.     .D(_104_),
  2277.     .QN(_003_)
  2278.   );
  2279. endmodule




复制代码





 楼主| 发表于 2022-8-19 17:34:16 | 显示全部楼层


轩辕志瑜 发表于 2022-8-19 04:56
数字IC DC综合是指 用 Design compiler 这款工具把数字ic开发人员开发的verilog代码用 Design compiler 综 ...


感谢!!看懂了!
发表于 2022-8-31 15:34:12 | 显示全部楼层
再给你贴上两条写得很明白的微信公众号文章
https://mp.weixin.qq.com/s/n3LVYZOYFeECNFiPz5heAQ cadence genus版的综合脚本
https://mp.weixin.qq.com/s/4s7YQQ0hYLretRRjWJbK7w 逻辑综合的流程和命令
发表于 2022-9-6 11:21:42 | 显示全部楼层
小白进来学习下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-23 17:18 , Processed in 0.026538 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表