本帖最后由 轩辕志瑜 于 2022-8-24 22:53 编辑
数字IC DC综合是指 用 Design compiler 这款工具把数字ic开发人员开发的verilog代码用 Design compiler 综合成数字后端能用的网表文件(netlist, 其实这个网表文件也是verilog只不过综合后跟工艺相关), 这一步是把代码逻辑转换成工艺相关的门级电路, 这个综合后的网表文件称为固核.
给你个例子:
这是未综合的verilog代码, (代码是用Chisel写的GPIO模块导出verilog代码后用openlane综合并跑出版图, 代码出自 Martoni/wbGPIO: Wishbone slave General purpose Input Output written in Chisel (github.com))
- module WbGpio(
- input clock,
- input reset,
- input [1:0] io_wbs_adr_i,
- input [15:0] io_wbs_dat_i,
- output [15:0] io_wbs_dat_o,
- input io_wbs_we_i,
- input io_wbs_stb_i,
- output io_wbs_ack_o,
- input io_wbs_cyc_i,
- output [15:0] io_gpio_outport,
- output [15:0] io_gpio_enport,
- input [15:0] io_gpio_inport
- );
- `ifdef RANDOMIZE_REG_INIT
- reg [31:0] _RAND_0;
- reg [31:0] _RAND_1;
- reg [31:0] _RAND_2;
- reg [31:0] _RAND_3;
- reg [31:0] _RAND_4;
- reg [31:0] _RAND_5;
- `endif // RANDOMIZE_REG_INIT
- reg [7:0] version; // @[wbgpio.scala 25:34]
- wire [8:0] statusReg = {1'h0,version}; // @[wbgpio.scala 39:49]
- reg [15:0] dirReg; // @[wbgpio.scala 47:23]
- reg [15:0] writeReg; // @[wbgpio.scala 64:25]
- reg [1:0] wbSm; // @[wbgpio.scala 70:21]
- reg ackReg; // @[wbgpio.scala 71:23]
- reg [15:0] wbReadReg; // @[wbgpio.scala 72:26]
- wire _T = 2'h0 == wbSm; // @[Conditional.scala 37:30]
- wire _T_4 = 2'h1 == io_wbs_adr_i; // @[Conditional.scala 37:30]
- wire _T_5 = 2'h3 == io_wbs_adr_i; // @[Conditional.scala 37:30]
- wire [15:0] _GEN_0 = _T_5 ? io_wbs_dat_i : writeReg; // @[Conditional.scala 39:67 wbgpio.scala 84:24 wbgpio.scala 64:25]
- wire [15:0] _GEN_1 = _T_4 ? io_wbs_dat_i : dirReg; // @[Conditional.scala 40:58 wbgpio.scala 81:22 wbgpio.scala 47:23]
- wire [15:0] _GEN_2 = _T_4 ? writeReg : _GEN_0; // @[Conditional.scala 40:58 wbgpio.scala 64:25]
- wire _T_6 = 2'h0 == io_wbs_adr_i; // @[Conditional.scala 37:30]
- wire _T_8 = 2'h2 == io_wbs_adr_i; // @[Conditional.scala 37:30]
- wire [15:0] _GEN_3 = _T_5 ? writeReg : wbReadReg; // @[Conditional.scala 39:67 wbgpio.scala 100:25 wbgpio.scala 72:26]
- wire [15:0] _GEN_4 = _T_8 ? io_gpio_inport : _GEN_3; // @[Conditional.scala 39:67 wbgpio.scala 97:25]
- wire [15:0] _GEN_5 = _T_4 ? dirReg : _GEN_4; // @[Conditional.scala 39:67 wbgpio.scala 94:25]
- wire [15:0] _GEN_6 = _T_6 ? {{7'd0}, statusReg} : _GEN_5; // @[Conditional.scala 40:58 wbgpio.scala 91:25]
- wire _T_10 = 2'h1 == wbSm; // @[Conditional.scala 37:30]
- wire _T_11 = 2'h2 == wbSm; // @[Conditional.scala 37:30]
- assign io_wbs_dat_o = wbReadReg; // @[wbgpio.scala 117:16]
- assign io_wbs_ack_o = ackReg; // @[wbgpio.scala 118:16]
- assign io_gpio_outport = writeReg; // @[wbgpio.scala 65:18]
- assign io_gpio_enport = dirReg; // @[wbgpio.scala 48:18]
- always @(posedge clock) begin
- if (reset) begin // @[wbgpio.scala 25:34]
- version <= 8'h1; // @[wbgpio.scala 25:34]
- end
- if (reset) begin // @[wbgpio.scala 47:23]
- dirReg <= 16'h0; // @[wbgpio.scala 47:23]
- end else if (_T) begin // @[Conditional.scala 40:58]
- if (io_wbs_stb_i & io_wbs_cyc_i & ~ackReg) begin // @[wbgpio.scala 77:49]
- if (io_wbs_we_i) begin // @[wbgpio.scala 78:26]
- dirReg <= _GEN_1;
- end
- end
- end
- if (reset) begin // @[wbgpio.scala 64:25]
- writeReg <= 16'h0; // @[wbgpio.scala 64:25]
- end else if (_T) begin // @[Conditional.scala 40:58]
- if (io_wbs_stb_i & io_wbs_cyc_i & ~ackReg) begin // @[wbgpio.scala 77:49]
- if (io_wbs_we_i) begin // @[wbgpio.scala 78:26]
- writeReg <= _GEN_2;
- end
- end
- end
- if (reset) begin // @[wbgpio.scala 70:21]
- wbSm <= 2'h0; // @[wbgpio.scala 70:21]
- end else if (_T) begin // @[Conditional.scala 40:58]
- if (io_wbs_stb_i & io_wbs_cyc_i & ~ackReg) begin // @[wbgpio.scala 77:49]
- if (io_wbs_we_i) begin // @[wbgpio.scala 78:26]
- wbSm <= 2'h2; // @[wbgpio.scala 87:16]
- end else begin
- wbSm <= 2'h1; // @[wbgpio.scala 103:16]
- end
- end
- end else if (_T_10) begin // @[Conditional.scala 39:67]
- wbSm <= 2'h0; // @[wbgpio.scala 108:12]
- end else if (_T_11) begin // @[Conditional.scala 39:67]
- wbSm <= 2'h0; // @[wbgpio.scala 111:12]
- end
- if (reset) begin // @[wbgpio.scala 71:23]
- ackReg <= 1'h0; // @[wbgpio.scala 71:23]
- end else begin
- ackReg <= wbSm == 2'h1 | wbSm == 2'h2; // @[wbgpio.scala 115:10]
- end
- if (reset) begin // @[wbgpio.scala 72:26]
- wbReadReg <= 16'h0; // @[wbgpio.scala 72:26]
- end else if (_T) begin // @[Conditional.scala 40:58]
- if (io_wbs_stb_i & io_wbs_cyc_i & ~ackReg) begin // @[wbgpio.scala 77:49]
- if (!(io_wbs_we_i)) begin // @[wbgpio.scala 78:26]
- wbReadReg <= _GEN_6;
- end
- end
- end
- end
- // Register and memory initialization
- `ifdef RANDOMIZE_GARBAGE_ASSIGN
- `define RANDOMIZE
- `endif
- `ifdef RANDOMIZE_INVALID_ASSIGN
- `define RANDOMIZE
- `endif
- `ifdef RANDOMIZE_REG_INIT
- `define RANDOMIZE
- `endif
- `ifdef RANDOMIZE_MEM_INIT
- `define RANDOMIZE
- `endif
- `ifndef RANDOM
- `define RANDOM $random
- `endif
- `ifdef RANDOMIZE_MEM_INIT
- integer initvar;
- `endif
- `ifndef SYNTHESIS
- `ifdef FIRRTL_BEFORE_INITIAL
- `FIRRTL_BEFORE_INITIAL
- `endif
- initial begin
- `ifdef RANDOMIZE
- `ifdef INIT_RANDOM
- `INIT_RANDOM
- `endif
- `ifndef VERILATOR
- `ifdef RANDOMIZE_DELAY
- #`RANDOMIZE_DELAY begin end
- `else
- #0.002 begin end
- `endif
- `endif
- `ifdef RANDOMIZE_REG_INIT
- _RAND_0 = {1{`RANDOM}};
- version = _RAND_0[7:0];
- _RAND_1 = {1{`RANDOM}};
- dirReg = _RAND_1[15:0];
- _RAND_2 = {1{`RANDOM}};
- writeReg = _RAND_2[15:0];
- _RAND_3 = {1{`RANDOM}};
- wbSm = _RAND_3[1:0];
- _RAND_4 = {1{`RANDOM}};
- ackReg = _RAND_4[0:0];
- _RAND_5 = {1{`RANDOM}};
- wbReadReg = _RAND_5[15:0];
- `endif // RANDOMIZE_REG_INIT
- `endif // RANDOMIZE
- end // initial
- `ifdef FIRRTL_AFTER_INITIAL
- `FIRRTL_AFTER_INITIAL
- `endif
- `endif // SYNTHESIS
- endmodule
复制代码 这是综合后未优化的verilog
- /* Generated by Yosys 0.13+15 (git sha1 bc027b2ca, gcc 11.2.0-19ubuntu1 -fPIC -Os) */
- module WbGpio(clock, reset, io_wbs_adr_i, io_wbs_dat_i, io_wbs_dat_o, io_wbs_we_i, io_wbs_stb_i, io_wbs_ack_o, io_wbs_cyc_i, io_gpio_outport, io_gpio_enport, io_gpio_inport);
- wire _000_;
- wire _001_;
- wire _002_;
- wire _003_;
- wire _004_;
- wire _005_;
- wire _006_;
- wire _007_;
- wire _008_;
- wire _009_;
- wire _010_;
- wire _011_;
- wire _012_;
- wire _013_;
- wire _014_;
- wire _015_;
- wire _016_;
- wire _017_;
- wire _018_;
- wire _019_;
- wire _020_;
- wire _021_;
- wire _022_;
- wire _023_;
- wire _024_;
- wire _025_;
- wire _026_;
- wire _027_;
- wire _028_;
- wire _029_;
- wire _030_;
- wire _031_;
- wire _032_;
- wire _033_;
- wire _034_;
- wire _035_;
- wire _036_;
- wire _037_;
- wire _038_;
- wire _039_;
- wire _040_;
- wire _041_;
- wire _042_;
- wire _043_;
- wire _044_;
- wire _045_;
- wire _046_;
- wire _047_;
- wire _048_;
- wire _049_;
- wire _050_;
- wire _051_;
- wire _052_;
- wire _053_;
- wire _054_;
- wire _055_;
- wire _056_;
- wire _057_;
- wire _058_;
- wire _059_;
- wire _060_;
- wire _061_;
- wire _062_;
- wire _063_;
- wire _064_;
- wire _065_;
- wire _066_;
- wire _067_;
- wire _068_;
- wire _069_;
- wire _070_;
- wire _071_;
- wire _072_;
- wire _073_;
- wire _074_;
- wire _075_;
- wire _076_;
- wire _077_;
- wire _078_;
- wire _079_;
- wire _080_;
- wire _081_;
- wire _082_;
- wire _083_;
- wire _084_;
- wire _085_;
- wire _086_;
- wire _087_;
- wire _088_;
- wire _089_;
- wire _090_;
- wire _091_;
- wire _092_;
- wire _093_;
- wire _094_;
- wire _095_;
- wire _096_;
- wire _097_;
- wire _098_;
- wire _099_;
- wire _100_;
- wire _101_;
- wire _102_;
- wire _103_;
- wire _104_;
- wire _105_;
- wire _106_;
- wire _107_;
- wire _108_;
- wire _109_;
- wire _110_;
- wire _111_;
- wire _112_;
- wire _113_;
- wire _114_;
- wire _115_;
- wire _116_;
- wire _117_;
- wire _118_;
- wire _119_;
- wire _120_;
- wire _121_;
- wire _122_;
- wire _123_;
- wire _124_;
- wire _125_;
- wire _126_;
- wire _127_;
- wire _128_;
- wire _129_;
- wire _130_;
- wire _131_;
- wire _132_;
- wire _133_;
- wire _134_;
- wire _135_;
- wire _136_;
- wire _137_;
- wire _138_;
- wire _139_;
- wire _140_;
- wire _141_;
- wire _142_;
- wire _143_;
- wire _144_;
- wire _145_;
- wire _146_;
- wire _147_;
- wire _148_;
- wire _149_;
- wire _150_;
- wire _151_;
- wire _152_;
- wire _153_;
- wire _154_;
- wire _155_;
- wire _156_;
- wire _157_;
- wire _158_;
- wire _159_;
- wire _160_;
- wire _161_;
- wire _162_;
- wire _163_;
- wire _164_;
- wire _165_;
- wire _166_;
- wire _167_;
- wire _168_;
- wire _169_;
- wire _170_;
- wire _171_;
- wire _172_;
- wire _173_;
- wire _174_;
- wire _175_;
- wire _176_;
- wire _177_;
- wire _178_;
- wire _179_;
- wire _180_;
- wire _181_;
- wire _182_;
- wire _183_;
- wire _184_;
- wire _185_;
- wire _186_;
- wire _187_;
- wire _188_;
- wire _189_;
- wire _190_;
- wire _191_;
- wire _192_;
- wire _193_;
- wire _194_;
- wire _195_;
- wire _196_;
- wire _197_;
- wire _198_;
- wire _199_;
- wire _200_;
- wire _201_;
- wire _202_;
- wire _203_;
- wire _204_;
- wire _205_;
- wire _206_;
- wire _207_;
- wire _208_;
- wire _209_;
- wire _210_;
- wire _211_;
- wire _212_;
- wire _213_;
- wire _214_;
- wire _215_;
- wire _216_;
- wire _217_;
- wire _218_;
- wire _219_;
- wire _220_;
- wire _221_;
- wire _222_;
- wire _223_;
- wire _224_;
- wire _225_;
- wire _226_;
- wire _227_;
- wire _228_;
- wire _229_;
- wire _230_;
- wire _231_;
- wire _232_;
- wire _233_;
- wire _234_;
- wire _235_;
- wire _236_;
- wire _237_;
- wire _238_;
- wire _239_;
- wire _240_;
- wire _241_;
- wire _242_;
- wire _243_;
- wire _244_;
- wire _245_;
- wire _246_;
- wire _247_;
- wire _248_;
- wire _249_;
- wire _250_;
- wire _251_;
- wire _252_;
- wire _253_;
- wire _254_;
- wire _255_;
- wire _256_;
- wire _257_;
- wire _258_;
- wire _259_;
- wire _260_;
- wire _261_;
- wire _262_;
- wire _263_;
- wire _264_;
- wire _265_;
- wire _266_;
- wire _267_;
- wire _268_;
- wire _269_;
- wire _270_;
- wire _271_;
- wire _272_;
- wire _273_;
- wire _274_;
- wire _275_;
- wire _276_;
- wire _277_;
- wire _278_;
- wire _279_;
- wire _280_;
- wire _281_;
- wire _282_;
- wire _283_;
- wire _284_;
- wire _285_;
- wire _286_;
- wire _287_;
- wire _288_;
- wire _289_;
- wire _290_;
- wire _291_;
- wire _292_;
- wire _293_;
- wire _294_;
- wire _295_;
- wire _296_;
- wire _297_;
- wire _298_;
- wire _299_;
- wire _300_;
- wire _301_;
- wire _302_;
- wire _303_;
- wire _304_;
- wire _305_;
- wire _306_;
- wire _307_;
- wire _308_;
- wire _309_;
- wire _310_;
- wire _311_;
- wire _312_;
- wire _313_;
- wire _314_;
- wire _315_;
- input clock;
- output [15:0] io_gpio_enport;
- input [15:0] io_gpio_inport;
- output [15:0] io_gpio_outport;
- output io_wbs_ack_o;
- input [1:0] io_wbs_adr_i;
- input io_wbs_cyc_i;
- input [15:0] io_wbs_dat_i;
- output [15:0] io_wbs_dat_o;
- input io_wbs_stb_i;
- input io_wbs_we_i;
- input reset;
- INVx1_ASAP7_75t_R _316_ (
- .A(_022_),
- .Y(io_gpio_outport[12])
- );
- INVx1_ASAP7_75t_R _317_ (
- .A(_038_),
- .Y(io_gpio_enport[12])
- );
- INVx1_ASAP7_75t_R _318_ (
- .A(_021_),
- .Y(io_gpio_outport[13])
- );
- INVx1_ASAP7_75t_R _319_ (
- .A(_037_),
- .Y(io_gpio_enport[13])
- );
- INVx1_ASAP7_75t_R _320_ (
- .A(_020_),
- .Y(io_gpio_outport[14])
- );
- INVx1_ASAP7_75t_R _321_ (
- .A(_036_),
- .Y(io_gpio_enport[14])
- );
- INVx1_ASAP7_75t_R _322_ (
- .A(_019_),
- .Y(io_gpio_outport[15])
- );
- INVx1_ASAP7_75t_R _323_ (
- .A(_035_),
- .Y(io_gpio_enport[15])
- );
- OR2x2_ASAP7_75t_R _324_ (
- .A(io_wbs_we_i),
- .B(_054_),
- .Y(_110_)
- );
- NOR2x1_ASAP7_75t_R _325_ (
- .A(_110_),
- .B(_105_),
- .Y(_111_)
- );
- INVx1_ASAP7_75t_R _326_ (
- .A(_106_),
- .Y(_112_)
- );
- AND2x2_ASAP7_75t_R _327_ (
- .A(_111_),
- .B(_112_),
- .Y(_001_)
- );
- NOR2x2_ASAP7_75t_R _328_ (
- .A(_054_),
- .B(_105_),
- .Y(_113_)
- );
- BUFx2_ASAP7_75t_R _329_ (
- .A(_113_),
- .Y(_114_)
- );
- AND3x1_ASAP7_75t_R _330_ (
- .A(_114_),
- .B(io_wbs_we_i),
- .C(_112_),
- .Y(_000_)
- );
- INVx1_ASAP7_75t_R _331_ (
- .A(clock),
- .Y(_055_)
- );
- INVx1_ASAP7_75t_R _332_ (
- .A(_003_),
- .Y(io_wbs_dat_o[15])
- );
- INVx1_ASAP7_75t_R _333_ (
- .A(_004_),
- .Y(io_wbs_dat_o[14])
- );
- INVx1_ASAP7_75t_R _334_ (
- .A(_005_),
- .Y(io_wbs_dat_o[13])
- );
- INVx1_ASAP7_75t_R _335_ (
- .A(_006_),
- .Y(io_wbs_dat_o[12])
- );
- INVx1_ASAP7_75t_R _336_ (
- .A(_007_),
- .Y(io_wbs_dat_o[11])
- );
- INVx1_ASAP7_75t_R _337_ (
- .A(_008_),
- .Y(io_wbs_dat_o[10])
- );
- INVx1_ASAP7_75t_R _338_ (
- .A(_009_),
- .Y(io_wbs_dat_o[9])
- );
- INVx1_ASAP7_75t_R _339_ (
- .A(_010_),
- .Y(io_wbs_dat_o[8])
- );
- INVx1_ASAP7_75t_R _340_ (
- .A(_011_),
- .Y(io_wbs_dat_o[7])
- );
- INVx1_ASAP7_75t_R _341_ (
- .A(_012_),
- .Y(io_wbs_dat_o[6])
- );
- INVx1_ASAP7_75t_R _342_ (
- .A(_013_),
- .Y(io_wbs_dat_o[5])
- );
- INVx1_ASAP7_75t_R _343_ (
- .A(_014_),
- .Y(io_wbs_dat_o[4])
- );
- INVx1_ASAP7_75t_R _344_ (
- .A(_015_),
- .Y(io_wbs_dat_o[3])
- );
- INVx1_ASAP7_75t_R _345_ (
- .A(_016_),
- .Y(io_wbs_dat_o[2])
- );
- INVx1_ASAP7_75t_R _346_ (
- .A(_017_),
- .Y(io_wbs_dat_o[1])
- );
- INVx1_ASAP7_75t_R _347_ (
- .A(_018_),
- .Y(io_wbs_dat_o[0])
- );
- OA21x2_ASAP7_75t_R _348_ (
- .A1(_108_),
- .A2(_109_),
- .B(_112_),
- .Y(_056_)
- );
- BUFx6f_ASAP7_75t_R _349_ (
- .A(io_wbs_adr_i[1]),
- .Y(_115_)
- );
- INVx3_ASAP7_75t_R _350_ (
- .A(_115_),
- .Y(_116_)
- );
- BUFx4f_ASAP7_75t_R _351_ (
- .A(io_wbs_adr_i[0]),
- .Y(_117_)
- );
- AND3x1_ASAP7_75t_R _352_ (
- .A(_116_),
- .B(_117_),
- .C(io_wbs_we_i),
- .Y(_118_)
- );
- BUFx2_ASAP7_75t_R _353_ (
- .A(_118_),
- .Y(_119_)
- );
- BUFx4f_ASAP7_75t_R _354_ (
- .A(_119_),
- .Y(_120_)
- );
- NAND3x1_ASAP7_75t_R _355_ (
- .A(_114_),
- .B(io_wbs_dat_i[0]),
- .C(_120_),
- .Y(_121_)
- );
- BUFx2_ASAP7_75t_R _356_ (
- .A(_113_),
- .Y(_122_)
- );
- BUFx4f_ASAP7_75t_R _357_ (
- .A(_119_),
- .Y(_123_)
- );
- AO21x1_ASAP7_75t_R _358_ (
- .A1(_122_),
- .A2(_123_),
- .B(_050_),
- .Y(_124_)
- );
- BUFx2_ASAP7_75t_R _359_ (
- .A(_107_),
- .Y(_125_)
- );
- AOI21x1_ASAP7_75t_R _360_ (
- .A1(_121_),
- .A2(_124_),
- .B(_125_),
- .Y(_057_)
- );
- NAND3x1_ASAP7_75t_R _361_ (
- .A(_114_),
- .B(io_wbs_dat_i[1]),
- .C(_120_),
- .Y(_126_)
- );
- AO21x1_ASAP7_75t_R _362_ (
- .A1(_122_),
- .A2(_123_),
- .B(_049_),
- .Y(_127_)
- );
- AOI21x1_ASAP7_75t_R _363_ (
- .A1(_126_),
- .A2(_127_),
- .B(_125_),
- .Y(_058_)
- );
- NAND3x1_ASAP7_75t_R _364_ (
- .A(_114_),
- .B(io_wbs_dat_i[2]),
- .C(_120_),
- .Y(_128_)
- );
- AO21x1_ASAP7_75t_R _365_ (
- .A1(_122_),
- .A2(_123_),
- .B(_048_),
- .Y(_129_)
- );
- AOI21x1_ASAP7_75t_R _366_ (
- .A1(_128_),
- .A2(_129_),
- .B(_125_),
- .Y(_059_)
- );
- NAND3x1_ASAP7_75t_R _367_ (
- .A(_114_),
- .B(io_wbs_dat_i[3]),
- .C(_120_),
- .Y(_130_)
- );
- AO21x1_ASAP7_75t_R _368_ (
- .A1(_122_),
- .A2(_123_),
- .B(_047_),
- .Y(_131_)
- );
- AOI21x1_ASAP7_75t_R _369_ (
- .A1(_130_),
- .A2(_131_),
- .B(_125_),
- .Y(_060_)
- );
- NAND3x1_ASAP7_75t_R _370_ (
- .A(_114_),
- .B(io_wbs_dat_i[4]),
- .C(_120_),
- .Y(_132_)
- );
- BUFx3_ASAP7_75t_R _371_ (
- .A(_119_),
- .Y(_133_)
- );
- AO21x1_ASAP7_75t_R _372_ (
- .A1(_122_),
- .A2(_133_),
- .B(_046_),
- .Y(_134_)
- );
- AOI21x1_ASAP7_75t_R _373_ (
- .A1(_132_),
- .A2(_134_),
- .B(_125_),
- .Y(_061_)
- );
- NAND3x1_ASAP7_75t_R _374_ (
- .A(_114_),
- .B(io_wbs_dat_i[5]),
- .C(_120_),
- .Y(_135_)
- );
- AO21x1_ASAP7_75t_R _375_ (
- .A1(_122_),
- .A2(_133_),
- .B(_045_),
- .Y(_136_)
- );
- AOI21x1_ASAP7_75t_R _376_ (
- .A1(_135_),
- .A2(_136_),
- .B(_125_),
- .Y(_062_)
- );
- NAND3x1_ASAP7_75t_R _377_ (
- .A(_114_),
- .B(io_wbs_dat_i[6]),
- .C(_120_),
- .Y(_137_)
- );
- AO21x1_ASAP7_75t_R _378_ (
- .A1(_122_),
- .A2(_133_),
- .B(_044_),
- .Y(_138_)
- );
- AOI21x1_ASAP7_75t_R _379_ (
- .A1(_137_),
- .A2(_138_),
- .B(_125_),
- .Y(_063_)
- );
- NAND3x1_ASAP7_75t_R _380_ (
- .A(_114_),
- .B(io_wbs_dat_i[7]),
- .C(_120_),
- .Y(_139_)
- );
- BUFx2_ASAP7_75t_R _381_ (
- .A(_113_),
- .Y(_140_)
- );
- AO21x1_ASAP7_75t_R _382_ (
- .A1(_140_),
- .A2(_133_),
- .B(_043_),
- .Y(_141_)
- );
- AOI21x1_ASAP7_75t_R _383_ (
- .A1(_139_),
- .A2(_141_),
- .B(_125_),
- .Y(_064_)
- );
- NAND3x1_ASAP7_75t_R _384_ (
- .A(_114_),
- .B(io_wbs_dat_i[8]),
- .C(_120_),
- .Y(_142_)
- );
- AO21x1_ASAP7_75t_R _385_ (
- .A1(_140_),
- .A2(_133_),
- .B(_042_),
- .Y(_143_)
- );
- AOI21x1_ASAP7_75t_R _386_ (
- .A1(_142_),
- .A2(_143_),
- .B(_125_),
- .Y(_065_)
- );
- BUFx3_ASAP7_75t_R _387_ (
- .A(_113_),
- .Y(_144_)
- );
- NAND3x1_ASAP7_75t_R _388_ (
- .A(_144_),
- .B(io_wbs_dat_i[9]),
- .C(_120_),
- .Y(_145_)
- );
- AO21x1_ASAP7_75t_R _389_ (
- .A1(_140_),
- .A2(_133_),
- .B(_041_),
- .Y(_146_)
- );
- AOI21x1_ASAP7_75t_R _390_ (
- .A1(_145_),
- .A2(_146_),
- .B(_125_),
- .Y(_066_)
- );
- NAND3x1_ASAP7_75t_R _391_ (
- .A(_144_),
- .B(io_wbs_dat_i[10]),
- .C(_123_),
- .Y(_147_)
- );
- AO21x1_ASAP7_75t_R _392_ (
- .A1(_140_),
- .A2(_133_),
- .B(_040_),
- .Y(_148_)
- );
- BUFx2_ASAP7_75t_R _393_ (
- .A(_107_),
- .Y(_149_)
- );
- AOI21x1_ASAP7_75t_R _394_ (
- .A1(_147_),
- .A2(_148_),
- .B(_149_),
- .Y(_067_)
- );
- NAND3x1_ASAP7_75t_R _395_ (
- .A(_144_),
- .B(io_wbs_dat_i[11]),
- .C(_123_),
- .Y(_150_)
- );
- AO21x1_ASAP7_75t_R _396_ (
- .A1(_140_),
- .A2(_133_),
- .B(_039_),
- .Y(_151_)
- );
- AOI21x1_ASAP7_75t_R _397_ (
- .A1(_150_),
- .A2(_151_),
- .B(_149_),
- .Y(_068_)
- );
- NAND3x1_ASAP7_75t_R _398_ (
- .A(_144_),
- .B(io_wbs_dat_i[12]),
- .C(_123_),
- .Y(_152_)
- );
- AO21x1_ASAP7_75t_R _399_ (
- .A1(_140_),
- .A2(_133_),
- .B(_038_),
- .Y(_153_)
- );
- AOI21x1_ASAP7_75t_R _400_ (
- .A1(_152_),
- .A2(_153_),
- .B(_149_),
- .Y(_069_)
- );
- NAND3x1_ASAP7_75t_R _401_ (
- .A(_144_),
- .B(io_wbs_dat_i[13]),
- .C(_123_),
- .Y(_154_)
- );
- AO21x1_ASAP7_75t_R _402_ (
- .A1(_140_),
- .A2(_133_),
- .B(_037_),
- .Y(_155_)
- );
- AOI21x1_ASAP7_75t_R _403_ (
- .A1(_154_),
- .A2(_155_),
- .B(_149_),
- .Y(_070_)
- );
- NAND3x1_ASAP7_75t_R _404_ (
- .A(_144_),
- .B(io_wbs_dat_i[14]),
- .C(_123_),
- .Y(_156_)
- );
- AO21x1_ASAP7_75t_R _405_ (
- .A1(_140_),
- .A2(_119_),
- .B(_036_),
- .Y(_157_)
- );
- AOI21x1_ASAP7_75t_R _406_ (
- .A1(_156_),
- .A2(_157_),
- .B(_149_),
- .Y(_071_)
- );
- NAND3x1_ASAP7_75t_R _407_ (
- .A(_144_),
- .B(io_wbs_dat_i[15]),
- .C(_123_),
- .Y(_158_)
- );
- AO21x1_ASAP7_75t_R _408_ (
- .A1(_140_),
- .A2(_119_),
- .B(_035_),
- .Y(_159_)
- );
- AOI21x1_ASAP7_75t_R _409_ (
- .A1(_158_),
- .A2(_159_),
- .B(_149_),
- .Y(_072_)
- );
- AND3x1_ASAP7_75t_R _410_ (
- .A(_117_),
- .B(_115_),
- .C(io_wbs_we_i),
- .Y(_160_)
- );
- BUFx2_ASAP7_75t_R _411_ (
- .A(_160_),
- .Y(_161_)
- );
- BUFx3_ASAP7_75t_R _412_ (
- .A(_161_),
- .Y(_162_)
- );
- NAND3x1_ASAP7_75t_R _413_ (
- .A(_144_),
- .B(io_wbs_dat_i[0]),
- .C(_162_),
- .Y(_163_)
- );
- BUFx2_ASAP7_75t_R _414_ (
- .A(_161_),
- .Y(_164_)
- );
- AO21x1_ASAP7_75t_R _415_ (
- .A1(_140_),
- .A2(_164_),
- .B(_034_),
- .Y(_165_)
- );
- AOI21x1_ASAP7_75t_R _416_ (
- .A1(_163_),
- .A2(_165_),
- .B(_149_),
- .Y(_073_)
- );
- NAND3x1_ASAP7_75t_R _417_ (
- .A(_144_),
- .B(io_wbs_dat_i[1]),
- .C(_162_),
- .Y(_166_)
- );
- BUFx2_ASAP7_75t_R _418_ (
- .A(_113_),
- .Y(_167_)
- );
- AO21x1_ASAP7_75t_R _419_ (
- .A1(_167_),
- .A2(_164_),
- .B(_033_),
- .Y(_168_)
- );
- AOI21x1_ASAP7_75t_R _420_ (
- .A1(_166_),
- .A2(_168_),
- .B(_149_),
- .Y(_074_)
- );
- NAND3x1_ASAP7_75t_R _421_ (
- .A(_144_),
- .B(io_wbs_dat_i[2]),
- .C(_162_),
- .Y(_169_)
- );
- AO21x1_ASAP7_75t_R _422_ (
- .A1(_167_),
- .A2(_164_),
- .B(_032_),
- .Y(_170_)
- );
- AOI21x1_ASAP7_75t_R _423_ (
- .A1(_169_),
- .A2(_170_),
- .B(_149_),
- .Y(_075_)
- );
- BUFx3_ASAP7_75t_R _424_ (
- .A(_113_),
- .Y(_171_)
- );
- NAND3x1_ASAP7_75t_R _425_ (
- .A(_171_),
- .B(io_wbs_dat_i[3]),
- .C(_162_),
- .Y(_172_)
- );
- AO21x1_ASAP7_75t_R _426_ (
- .A1(_167_),
- .A2(_164_),
- .B(_031_),
- .Y(_173_)
- );
- AOI21x1_ASAP7_75t_R _427_ (
- .A1(_172_),
- .A2(_173_),
- .B(_149_),
- .Y(_076_)
- );
- NAND3x1_ASAP7_75t_R _428_ (
- .A(_171_),
- .B(io_wbs_dat_i[4]),
- .C(_162_),
- .Y(_174_)
- );
- BUFx2_ASAP7_75t_R _429_ (
- .A(_161_),
- .Y(_175_)
- );
- AO21x1_ASAP7_75t_R _430_ (
- .A1(_167_),
- .A2(_175_),
- .B(_030_),
- .Y(_176_)
- );
- BUFx2_ASAP7_75t_R _431_ (
- .A(_106_),
- .Y(_177_)
- );
- AOI21x1_ASAP7_75t_R _432_ (
- .A1(_174_),
- .A2(_176_),
- .B(_177_),
- .Y(_077_)
- );
- NAND3x1_ASAP7_75t_R _433_ (
- .A(_171_),
- .B(io_wbs_dat_i[5]),
- .C(_162_),
- .Y(_178_)
- );
- AO21x1_ASAP7_75t_R _434_ (
- .A1(_167_),
- .A2(_175_),
- .B(_029_),
- .Y(_179_)
- );
- AOI21x1_ASAP7_75t_R _435_ (
- .A1(_178_),
- .A2(_179_),
- .B(_177_),
- .Y(_078_)
- );
- NAND3x1_ASAP7_75t_R _436_ (
- .A(_171_),
- .B(io_wbs_dat_i[6]),
- .C(_162_),
- .Y(_180_)
- );
- AO21x1_ASAP7_75t_R _437_ (
- .A1(_167_),
- .A2(_175_),
- .B(_028_),
- .Y(_181_)
- );
- AOI21x1_ASAP7_75t_R _438_ (
- .A1(_180_),
- .A2(_181_),
- .B(_177_),
- .Y(_079_)
- );
- NAND3x1_ASAP7_75t_R _439_ (
- .A(_171_),
- .B(io_wbs_dat_i[7]),
- .C(_162_),
- .Y(_182_)
- );
- AO21x1_ASAP7_75t_R _440_ (
- .A1(_167_),
- .A2(_175_),
- .B(_027_),
- .Y(_183_)
- );
- AOI21x1_ASAP7_75t_R _441_ (
- .A1(_182_),
- .A2(_183_),
- .B(_177_),
- .Y(_080_)
- );
- NAND3x1_ASAP7_75t_R _442_ (
- .A(_171_),
- .B(io_wbs_dat_i[8]),
- .C(_162_),
- .Y(_184_)
- );
- AO21x1_ASAP7_75t_R _443_ (
- .A1(_167_),
- .A2(_175_),
- .B(_026_),
- .Y(_185_)
- );
- AOI21x1_ASAP7_75t_R _444_ (
- .A1(_184_),
- .A2(_185_),
- .B(_177_),
- .Y(_081_)
- );
- NAND3x1_ASAP7_75t_R _445_ (
- .A(_171_),
- .B(io_wbs_dat_i[9]),
- .C(_162_),
- .Y(_186_)
- );
- AO21x1_ASAP7_75t_R _446_ (
- .A1(_167_),
- .A2(_175_),
- .B(_025_),
- .Y(_187_)
- );
- AOI21x1_ASAP7_75t_R _447_ (
- .A1(_186_),
- .A2(_187_),
- .B(_177_),
- .Y(_082_)
- );
- NAND3x1_ASAP7_75t_R _448_ (
- .A(_171_),
- .B(io_wbs_dat_i[10]),
- .C(_164_),
- .Y(_188_)
- );
- AO21x1_ASAP7_75t_R _449_ (
- .A1(_167_),
- .A2(_175_),
- .B(_024_),
- .Y(_189_)
- );
- AOI21x1_ASAP7_75t_R _450_ (
- .A1(_188_),
- .A2(_189_),
- .B(_177_),
- .Y(_083_)
- );
- NAND3x1_ASAP7_75t_R _451_ (
- .A(_171_),
- .B(io_wbs_dat_i[11]),
- .C(_164_),
- .Y(_190_)
- );
- BUFx2_ASAP7_75t_R _452_ (
- .A(_113_),
- .Y(_191_)
- );
- AO21x1_ASAP7_75t_R _453_ (
- .A1(_191_),
- .A2(_175_),
- .B(_023_),
- .Y(_192_)
- );
- AOI21x1_ASAP7_75t_R _454_ (
- .A1(_190_),
- .A2(_192_),
- .B(_177_),
- .Y(_084_)
- );
- NAND3x1_ASAP7_75t_R _455_ (
- .A(_171_),
- .B(io_wbs_dat_i[12]),
- .C(_164_),
- .Y(_193_)
- );
- AO21x1_ASAP7_75t_R _456_ (
- .A1(_191_),
- .A2(_175_),
- .B(_022_),
- .Y(_194_)
- );
- AOI21x1_ASAP7_75t_R _457_ (
- .A1(_193_),
- .A2(_194_),
- .B(_177_),
- .Y(_085_)
- );
- NAND3x1_ASAP7_75t_R _458_ (
- .A(_122_),
- .B(io_wbs_dat_i[13]),
- .C(_164_),
- .Y(_195_)
- );
- AO21x1_ASAP7_75t_R _459_ (
- .A1(_191_),
- .A2(_175_),
- .B(_021_),
- .Y(_196_)
- );
- AOI21x1_ASAP7_75t_R _460_ (
- .A1(_195_),
- .A2(_196_),
- .B(_177_),
- .Y(_086_)
- );
- NAND3x1_ASAP7_75t_R _461_ (
- .A(_122_),
- .B(io_wbs_dat_i[14]),
- .C(_164_),
- .Y(_197_)
- );
- AO21x1_ASAP7_75t_R _462_ (
- .A1(_191_),
- .A2(_161_),
- .B(_020_),
- .Y(_198_)
- );
- BUFx2_ASAP7_75t_R _463_ (
- .A(_106_),
- .Y(_199_)
- );
- AOI21x1_ASAP7_75t_R _464_ (
- .A1(_197_),
- .A2(_198_),
- .B(_199_),
- .Y(_087_)
- );
- NAND3x1_ASAP7_75t_R _465_ (
- .A(_122_),
- .B(io_wbs_dat_i[15]),
- .C(_164_),
- .Y(_200_)
- );
- AO21x1_ASAP7_75t_R _466_ (
- .A1(_191_),
- .A2(_161_),
- .B(_019_),
- .Y(_201_)
- );
- AOI21x1_ASAP7_75t_R _467_ (
- .A1(_200_),
- .A2(_201_),
- .B(_199_),
- .Y(_088_)
- );
- INVx2_ASAP7_75t_R _468_ (
- .A(_117_),
- .Y(_202_)
- );
- BUFx2_ASAP7_75t_R _469_ (
- .A(_202_),
- .Y(_203_)
- );
- BUFx2_ASAP7_75t_R _470_ (
- .A(_115_),
- .Y(_204_)
- );
- AO21x1_ASAP7_75t_R _471_ (
- .A1(_203_),
- .A2(_204_),
- .B(io_gpio_outport[0]),
- .Y(_205_)
- );
- NAND2x1_ASAP7_75t_R _472_ (
- .A(_115_),
- .B(_202_),
- .Y(_206_)
- );
- NOR2x2_ASAP7_75t_R _473_ (
- .A(_115_),
- .B(_202_),
- .Y(_207_)
- );
- INVx1_ASAP7_75t_R _474_ (
- .A(_207_),
- .Y(_208_)
- );
- OA21x2_ASAP7_75t_R _475_ (
- .A1(_206_),
- .A2(io_gpio_inport[0]),
- .B(_208_),
- .Y(_209_)
- );
- BUFx2_ASAP7_75t_R _476_ (
- .A(_116_),
- .Y(_210_)
- );
- NOR2x1_ASAP7_75t_R _477_ (
- .A(_117_),
- .B(_115_),
- .Y(_211_)
- );
- AO21x1_ASAP7_75t_R _478_ (
- .A1(_210_),
- .A2(io_gpio_enport[0]),
- .B(_211_),
- .Y(_212_)
- );
- AOI21x1_ASAP7_75t_R _479_ (
- .A1(_205_),
- .A2(_209_),
- .B(_212_),
- .Y(_213_)
- );
- OAI21x1_ASAP7_75t_R _480_ (
- .A1(io_wbs_dat_o[0]),
- .A2(_111_),
- .B(_112_),
- .Y(_214_)
- );
- AOI21x1_ASAP7_75t_R _481_ (
- .A1(_111_),
- .A2(_213_),
- .B(_214_),
- .Y(_089_)
- );
- INVx1_ASAP7_75t_R _482_ (
- .A(io_wbs_we_i),
- .Y(_215_)
- );
- BUFx2_ASAP7_75t_R _483_ (
- .A(_215_),
- .Y(_216_)
- );
- AO21x1_ASAP7_75t_R _484_ (
- .A1(_191_),
- .A2(_216_),
- .B(_017_),
- .Y(_217_)
- );
- NAND3x1_ASAP7_75t_R _485_ (
- .A(_203_),
- .B(_204_),
- .C(io_gpio_inport[1]),
- .Y(_218_)
- );
- BUFx2_ASAP7_75t_R _486_ (
- .A(_202_),
- .Y(_219_)
- );
- BUFx2_ASAP7_75t_R _487_ (
- .A(_115_),
- .Y(_220_)
- );
- AO21x1_ASAP7_75t_R _488_ (
- .A1(_219_),
- .A2(_220_),
- .B(_033_),
- .Y(_221_)
- );
- BUFx3_ASAP7_75t_R _489_ (
- .A(_207_),
- .Y(_222_)
- );
- AOI21x1_ASAP7_75t_R _490_ (
- .A1(_218_),
- .A2(_221_),
- .B(_222_),
- .Y(_223_)
- );
- BUFx2_ASAP7_75t_R _491_ (
- .A(_117_),
- .Y(_224_)
- );
- AND3x1_ASAP7_75t_R _492_ (
- .A(_210_),
- .B(io_gpio_enport[1]),
- .C(_224_),
- .Y(_225_)
- );
- NOR3x2_ASAP7_75t_R _493_ (
- .A(_105_),
- .B(_110_),
- .C(_211_),
- .Y(_226_)
- );
- BUFx4f_ASAP7_75t_R _494_ (
- .A(_226_),
- .Y(_227_)
- );
- OAI21x1_ASAP7_75t_R _495_ (
- .A1(_223_),
- .A2(_225_),
- .B(_227_),
- .Y(_228_)
- );
- AOI21x1_ASAP7_75t_R _496_ (
- .A1(_217_),
- .A2(_228_),
- .B(_199_),
- .Y(_090_)
- );
- AO21x1_ASAP7_75t_R _497_ (
- .A1(_191_),
- .A2(_216_),
- .B(_016_),
- .Y(_229_)
- );
- NAND3x1_ASAP7_75t_R _498_ (
- .A(_203_),
- .B(_204_),
- .C(io_gpio_inport[2]),
- .Y(_230_)
- );
- AO21x1_ASAP7_75t_R _499_ (
- .A1(_219_),
- .A2(_220_),
- .B(_032_),
- .Y(_231_)
- );
- AOI21x1_ASAP7_75t_R _500_ (
- .A1(_230_),
- .A2(_231_),
- .B(_222_),
- .Y(_232_)
- );
- AND3x1_ASAP7_75t_R _501_ (
- .A(_210_),
- .B(io_gpio_enport[2]),
- .C(_224_),
- .Y(_233_)
- );
- OAI21x1_ASAP7_75t_R _502_ (
- .A1(_232_),
- .A2(_233_),
- .B(_227_),
- .Y(_234_)
- );
- AOI21x1_ASAP7_75t_R _503_ (
- .A1(_229_),
- .A2(_234_),
- .B(_199_),
- .Y(_091_)
- );
- AO21x1_ASAP7_75t_R _504_ (
- .A1(_191_),
- .A2(_216_),
- .B(_015_),
- .Y(_235_)
- );
- NAND3x1_ASAP7_75t_R _505_ (
- .A(_203_),
- .B(_204_),
- .C(io_gpio_inport[3]),
- .Y(_236_)
- );
- AO21x1_ASAP7_75t_R _506_ (
- .A1(_219_),
- .A2(_220_),
- .B(_031_),
- .Y(_237_)
- );
- AOI21x1_ASAP7_75t_R _507_ (
- .A1(_236_),
- .A2(_237_),
- .B(_222_),
- .Y(_238_)
- );
- AND3x1_ASAP7_75t_R _508_ (
- .A(_210_),
- .B(io_gpio_enport[3]),
- .C(_224_),
- .Y(_239_)
- );
- OAI21x1_ASAP7_75t_R _509_ (
- .A1(_238_),
- .A2(_239_),
- .B(_227_),
- .Y(_240_)
- );
- AOI21x1_ASAP7_75t_R _510_ (
- .A1(_235_),
- .A2(_240_),
- .B(_199_),
- .Y(_092_)
- );
- AO21x1_ASAP7_75t_R _511_ (
- .A1(_191_),
- .A2(_216_),
- .B(_014_),
- .Y(_241_)
- );
- NAND3x1_ASAP7_75t_R _512_ (
- .A(_203_),
- .B(_204_),
- .C(io_gpio_inport[4]),
- .Y(_242_)
- );
- AO21x1_ASAP7_75t_R _513_ (
- .A1(_219_),
- .A2(_220_),
- .B(_030_),
- .Y(_243_)
- );
- AOI21x1_ASAP7_75t_R _514_ (
- .A1(_242_),
- .A2(_243_),
- .B(_222_),
- .Y(_244_)
- );
- AND3x1_ASAP7_75t_R _515_ (
- .A(_210_),
- .B(io_gpio_enport[4]),
- .C(_224_),
- .Y(_245_)
- );
- OAI21x1_ASAP7_75t_R _516_ (
- .A1(_244_),
- .A2(_245_),
- .B(_227_),
- .Y(_246_)
- );
- AOI21x1_ASAP7_75t_R _517_ (
- .A1(_241_),
- .A2(_246_),
- .B(_199_),
- .Y(_093_)
- );
- AO21x1_ASAP7_75t_R _518_ (
- .A1(_191_),
- .A2(_216_),
- .B(_013_),
- .Y(_247_)
- );
- NAND3x1_ASAP7_75t_R _519_ (
- .A(_203_),
- .B(_204_),
- .C(io_gpio_inport[5]),
- .Y(_248_)
- );
- BUFx2_ASAP7_75t_R _520_ (
- .A(_202_),
- .Y(_249_)
- );
- BUFx2_ASAP7_75t_R _521_ (
- .A(_115_),
- .Y(_250_)
- );
- AO21x1_ASAP7_75t_R _522_ (
- .A1(_249_),
- .A2(_250_),
- .B(_029_),
- .Y(_251_)
- );
- AOI21x1_ASAP7_75t_R _523_ (
- .A1(_248_),
- .A2(_251_),
- .B(_222_),
- .Y(_252_)
- );
- AND3x1_ASAP7_75t_R _524_ (
- .A(_210_),
- .B(io_gpio_enport[5]),
- .C(_224_),
- .Y(_253_)
- );
- OAI21x1_ASAP7_75t_R _525_ (
- .A1(_252_),
- .A2(_253_),
- .B(_227_),
- .Y(_254_)
- );
- AOI21x1_ASAP7_75t_R _526_ (
- .A1(_247_),
- .A2(_254_),
- .B(_199_),
- .Y(_094_)
- );
- BUFx2_ASAP7_75t_R _527_ (
- .A(_113_),
- .Y(_255_)
- );
- AO21x1_ASAP7_75t_R _528_ (
- .A1(_255_),
- .A2(_216_),
- .B(_012_),
- .Y(_256_)
- );
- NAND3x1_ASAP7_75t_R _529_ (
- .A(_203_),
- .B(_204_),
- .C(io_gpio_inport[6]),
- .Y(_257_)
- );
- AO21x1_ASAP7_75t_R _530_ (
- .A1(_249_),
- .A2(_250_),
- .B(_028_),
- .Y(_258_)
- );
- AOI21x1_ASAP7_75t_R _531_ (
- .A1(_257_),
- .A2(_258_),
- .B(_222_),
- .Y(_259_)
- );
- AND3x1_ASAP7_75t_R _532_ (
- .A(_210_),
- .B(io_gpio_enport[6]),
- .C(_224_),
- .Y(_260_)
- );
- OAI21x1_ASAP7_75t_R _533_ (
- .A1(_259_),
- .A2(_260_),
- .B(_227_),
- .Y(_261_)
- );
- AOI21x1_ASAP7_75t_R _534_ (
- .A1(_256_),
- .A2(_261_),
- .B(_199_),
- .Y(_095_)
- );
- AO21x1_ASAP7_75t_R _535_ (
- .A1(_255_),
- .A2(_216_),
- .B(_011_),
- .Y(_262_)
- );
- NAND3x1_ASAP7_75t_R _536_ (
- .A(_203_),
- .B(_204_),
- .C(io_gpio_inport[7]),
- .Y(_263_)
- );
- AO21x1_ASAP7_75t_R _537_ (
- .A1(_249_),
- .A2(_250_),
- .B(_027_),
- .Y(_264_)
- );
- AOI21x1_ASAP7_75t_R _538_ (
- .A1(_263_),
- .A2(_264_),
- .B(_222_),
- .Y(_265_)
- );
- AND3x1_ASAP7_75t_R _539_ (
- .A(_210_),
- .B(io_gpio_enport[7]),
- .C(_224_),
- .Y(_266_)
- );
- OAI21x1_ASAP7_75t_R _540_ (
- .A1(_265_),
- .A2(_266_),
- .B(_227_),
- .Y(_267_)
- );
- AOI21x1_ASAP7_75t_R _541_ (
- .A1(_262_),
- .A2(_267_),
- .B(_199_),
- .Y(_096_)
- );
- AO21x1_ASAP7_75t_R _542_ (
- .A1(_255_),
- .A2(_216_),
- .B(_010_),
- .Y(_268_)
- );
- NAND3x1_ASAP7_75t_R _543_ (
- .A(_203_),
- .B(_204_),
- .C(io_gpio_inport[8]),
- .Y(_269_)
- );
- AO21x1_ASAP7_75t_R _544_ (
- .A1(_249_),
- .A2(_250_),
- .B(_026_),
- .Y(_270_)
- );
- AOI21x1_ASAP7_75t_R _545_ (
- .A1(_269_),
- .A2(_270_),
- .B(_222_),
- .Y(_271_)
- );
- AND3x1_ASAP7_75t_R _546_ (
- .A(_210_),
- .B(io_gpio_enport[8]),
- .C(_224_),
- .Y(_272_)
- );
- OAI21x1_ASAP7_75t_R _547_ (
- .A1(_271_),
- .A2(_272_),
- .B(_227_),
- .Y(_273_)
- );
- AOI21x1_ASAP7_75t_R _548_ (
- .A1(_268_),
- .A2(_273_),
- .B(_199_),
- .Y(_097_)
- );
- AO21x1_ASAP7_75t_R _549_ (
- .A1(_255_),
- .A2(_216_),
- .B(_009_),
- .Y(_274_)
- );
- NAND3x1_ASAP7_75t_R _550_ (
- .A(_203_),
- .B(_204_),
- .C(io_gpio_inport[9]),
- .Y(_275_)
- );
- AO21x1_ASAP7_75t_R _551_ (
- .A1(_249_),
- .A2(_250_),
- .B(_025_),
- .Y(_276_)
- );
- AOI21x1_ASAP7_75t_R _552_ (
- .A1(_275_),
- .A2(_276_),
- .B(_222_),
- .Y(_277_)
- );
- AND3x1_ASAP7_75t_R _553_ (
- .A(_210_),
- .B(io_gpio_enport[9]),
- .C(_224_),
- .Y(_278_)
- );
- OAI21x1_ASAP7_75t_R _554_ (
- .A1(_277_),
- .A2(_278_),
- .B(_227_),
- .Y(_279_)
- );
- AOI21x1_ASAP7_75t_R _555_ (
- .A1(_274_),
- .A2(_279_),
- .B(_107_),
- .Y(_098_)
- );
- AO21x1_ASAP7_75t_R _556_ (
- .A1(_255_),
- .A2(_216_),
- .B(_008_),
- .Y(_280_)
- );
- NAND3x1_ASAP7_75t_R _557_ (
- .A(_219_),
- .B(_220_),
- .C(io_gpio_inport[10]),
- .Y(_281_)
- );
- AO21x1_ASAP7_75t_R _558_ (
- .A1(_249_),
- .A2(_250_),
- .B(_024_),
- .Y(_282_)
- );
- AOI21x1_ASAP7_75t_R _559_ (
- .A1(_281_),
- .A2(_282_),
- .B(_222_),
- .Y(_283_)
- );
- AND3x1_ASAP7_75t_R _560_ (
- .A(_116_),
- .B(io_gpio_enport[10]),
- .C(_224_),
- .Y(_284_)
- );
- OAI21x1_ASAP7_75t_R _561_ (
- .A1(_283_),
- .A2(_284_),
- .B(_227_),
- .Y(_285_)
- );
- AOI21x1_ASAP7_75t_R _562_ (
- .A1(_280_),
- .A2(_285_),
- .B(_107_),
- .Y(_099_)
- );
- AO21x1_ASAP7_75t_R _563_ (
- .A1(_255_),
- .A2(_215_),
- .B(_007_),
- .Y(_286_)
- );
- NAND3x1_ASAP7_75t_R _564_ (
- .A(_219_),
- .B(_220_),
- .C(io_gpio_inport[11]),
- .Y(_287_)
- );
- AO21x1_ASAP7_75t_R _565_ (
- .A1(_249_),
- .A2(_250_),
- .B(_023_),
- .Y(_288_)
- );
- AOI21x1_ASAP7_75t_R _566_ (
- .A1(_287_),
- .A2(_288_),
- .B(_207_),
- .Y(_289_)
- );
- AND3x1_ASAP7_75t_R _567_ (
- .A(_116_),
- .B(io_gpio_enport[11]),
- .C(_117_),
- .Y(_290_)
- );
- OAI21x1_ASAP7_75t_R _568_ (
- .A1(_289_),
- .A2(_290_),
- .B(_226_),
- .Y(_291_)
- );
- AOI21x1_ASAP7_75t_R _569_ (
- .A1(_286_),
- .A2(_291_),
- .B(_107_),
- .Y(_100_)
- );
- AO21x1_ASAP7_75t_R _570_ (
- .A1(_255_),
- .A2(_215_),
- .B(_006_),
- .Y(_292_)
- );
- NAND3x1_ASAP7_75t_R _571_ (
- .A(_219_),
- .B(_220_),
- .C(io_gpio_inport[12]),
- .Y(_293_)
- );
- AO21x1_ASAP7_75t_R _572_ (
- .A1(_249_),
- .A2(_250_),
- .B(_022_),
- .Y(_294_)
- );
- AOI21x1_ASAP7_75t_R _573_ (
- .A1(_293_),
- .A2(_294_),
- .B(_207_),
- .Y(_295_)
- );
- AND3x1_ASAP7_75t_R _574_ (
- .A(_116_),
- .B(io_gpio_enport[12]),
- .C(_117_),
- .Y(_296_)
- );
- OAI21x1_ASAP7_75t_R _575_ (
- .A1(_295_),
- .A2(_296_),
- .B(_226_),
- .Y(_297_)
- );
- AOI21x1_ASAP7_75t_R _576_ (
- .A1(_292_),
- .A2(_297_),
- .B(_107_),
- .Y(_101_)
- );
- AO21x1_ASAP7_75t_R _577_ (
- .A1(_255_),
- .A2(_215_),
- .B(_005_),
- .Y(_298_)
- );
- NAND3x1_ASAP7_75t_R _578_ (
- .A(_219_),
- .B(_220_),
- .C(io_gpio_inport[13]),
- .Y(_299_)
- );
- AO21x1_ASAP7_75t_R _579_ (
- .A1(_249_),
- .A2(_250_),
- .B(_021_),
- .Y(_300_)
- );
- AOI21x1_ASAP7_75t_R _580_ (
- .A1(_299_),
- .A2(_300_),
- .B(_207_),
- .Y(_301_)
- );
- AND3x1_ASAP7_75t_R _581_ (
- .A(_116_),
- .B(io_gpio_enport[13]),
- .C(_117_),
- .Y(_302_)
- );
- OAI21x1_ASAP7_75t_R _582_ (
- .A1(_301_),
- .A2(_302_),
- .B(_226_),
- .Y(_303_)
- );
- AOI21x1_ASAP7_75t_R _583_ (
- .A1(_298_),
- .A2(_303_),
- .B(_107_),
- .Y(_102_)
- );
- AO21x1_ASAP7_75t_R _584_ (
- .A1(_255_),
- .A2(_215_),
- .B(_004_),
- .Y(_304_)
- );
- NAND3x1_ASAP7_75t_R _585_ (
- .A(_219_),
- .B(_220_),
- .C(io_gpio_inport[14]),
- .Y(_305_)
- );
- AO21x1_ASAP7_75t_R _586_ (
- .A1(_249_),
- .A2(_250_),
- .B(_020_),
- .Y(_306_)
- );
- AOI21x1_ASAP7_75t_R _587_ (
- .A1(_305_),
- .A2(_306_),
- .B(_207_),
- .Y(_307_)
- );
- AND3x1_ASAP7_75t_R _588_ (
- .A(_116_),
- .B(io_gpio_enport[14]),
- .C(_117_),
- .Y(_308_)
- );
- OAI21x1_ASAP7_75t_R _589_ (
- .A1(_307_),
- .A2(_308_),
- .B(_226_),
- .Y(_309_)
- );
- AOI21x1_ASAP7_75t_R _590_ (
- .A1(_304_),
- .A2(_309_),
- .B(_107_),
- .Y(_103_)
- );
- AO21x1_ASAP7_75t_R _591_ (
- .A1(_255_),
- .A2(_215_),
- .B(_003_),
- .Y(_310_)
- );
- NAND3x1_ASAP7_75t_R _592_ (
- .A(_219_),
- .B(_220_),
- .C(io_gpio_inport[15]),
- .Y(_311_)
- );
- AO21x1_ASAP7_75t_R _593_ (
- .A1(_202_),
- .A2(_115_),
- .B(_019_),
- .Y(_312_)
- );
- AOI21x1_ASAP7_75t_R _594_ (
- .A1(_311_),
- .A2(_312_),
- .B(_207_),
- .Y(_313_)
- );
- AND3x1_ASAP7_75t_R _595_ (
- .A(_116_),
- .B(io_gpio_enport[15]),
- .C(_117_),
- .Y(_314_)
- );
- OAI21x1_ASAP7_75t_R _596_ (
- .A1(_313_),
- .A2(_314_),
- .B(_226_),
- .Y(_315_)
- );
- AOI21x1_ASAP7_75t_R _597_ (
- .A1(_310_),
- .A2(_315_),
- .B(_107_),
- .Y(_104_)
- );
- INVx1_ASAP7_75t_R _598_ (
- .A(_051_),
- .Y(io_wbs_ack_o)
- );
- NAND3x2_ASAP7_75t_R _599_ (
- .A(io_wbs_cyc_i),
- .B(io_wbs_stb_i),
- .C(_051_),
- .Y(_105_)
- );
- BUFx2_ASAP7_75t_R _600_ (
- .A(reset),
- .Y(_106_)
- );
- BUFx2_ASAP7_75t_R _601_ (
- .A(_106_),
- .Y(_107_)
- );
- INVx1_ASAP7_75t_R _602_ (
- .A(_052_),
- .Y(_108_)
- );
- INVx1_ASAP7_75t_R _603_ (
- .A(_053_),
- .Y(_109_)
- );
- OR4x1_ASAP7_75t_R _604_ (
- .A(_105_),
- .B(_107_),
- .C(_108_),
- .D(_109_),
- .Y(_002_)
- );
- INVx1_ASAP7_75t_R _605_ (
- .A(_034_),
- .Y(io_gpio_outport[0])
- );
- INVx1_ASAP7_75t_R _606_ (
- .A(_050_),
- .Y(io_gpio_enport[0])
- );
- INVx1_ASAP7_75t_R _607_ (
- .A(_033_),
- .Y(io_gpio_outport[1])
- );
- INVx1_ASAP7_75t_R _608_ (
- .A(_049_),
- .Y(io_gpio_enport[1])
- );
- INVx1_ASAP7_75t_R _609_ (
- .A(_032_),
- .Y(io_gpio_outport[2])
- );
- INVx1_ASAP7_75t_R _610_ (
- .A(_048_),
- .Y(io_gpio_enport[2])
- );
- INVx1_ASAP7_75t_R _611_ (
- .A(_031_),
- .Y(io_gpio_outport[3])
- );
- INVx1_ASAP7_75t_R _612_ (
- .A(_047_),
- .Y(io_gpio_enport[3])
- );
- INVx1_ASAP7_75t_R _613_ (
- .A(_030_),
- .Y(io_gpio_outport[4])
- );
- INVx1_ASAP7_75t_R _614_ (
- .A(_046_),
- .Y(io_gpio_enport[4])
- );
- INVx1_ASAP7_75t_R _615_ (
- .A(_029_),
- .Y(io_gpio_outport[5])
- );
- INVx1_ASAP7_75t_R _616_ (
- .A(_045_),
- .Y(io_gpio_enport[5])
- );
- INVx1_ASAP7_75t_R _617_ (
- .A(_028_),
- .Y(io_gpio_outport[6])
- );
- INVx1_ASAP7_75t_R _618_ (
- .A(_044_),
- .Y(io_gpio_enport[6])
- );
- INVx1_ASAP7_75t_R _619_ (
- .A(_027_),
- .Y(io_gpio_outport[7])
- );
- INVx1_ASAP7_75t_R _620_ (
- .A(_043_),
- .Y(io_gpio_enport[7])
- );
- INVx1_ASAP7_75t_R _621_ (
- .A(_026_),
- .Y(io_gpio_outport[8])
- );
- INVx1_ASAP7_75t_R _622_ (
- .A(_042_),
- .Y(io_gpio_enport[8])
- );
- INVx1_ASAP7_75t_R _623_ (
- .A(_025_),
- .Y(io_gpio_outport[9])
- );
- INVx1_ASAP7_75t_R _624_ (
- .A(_041_),
- .Y(io_gpio_enport[9])
- );
- INVx1_ASAP7_75t_R _625_ (
- .A(_024_),
- .Y(io_gpio_outport[10])
- );
- INVx1_ASAP7_75t_R _626_ (
- .A(_040_),
- .Y(io_gpio_enport[10])
- );
- INVx1_ASAP7_75t_R _627_ (
- .A(_023_),
- .Y(io_gpio_outport[11])
- );
- INVx1_ASAP7_75t_R _628_ (
- .A(_039_),
- .Y(io_gpio_enport[11])
- );
- DFFLQNx1_ASAP7_75t_R _629_ (
- .CLK(_055_),
- .D(_002_),
- .QN(_054_)
- );
- DFFLQNx1_ASAP7_75t_R _630_ (
- .CLK(_055_),
- .D(_000_),
- .QN(_053_)
- );
- DFFLQNx1_ASAP7_75t_R _631_ (
- .CLK(_055_),
- .D(_001_),
- .QN(_052_)
- );
- DFFLQNx1_ASAP7_75t_R _632_ (
- .CLK(_055_),
- .D(_056_),
- .QN(_051_)
- );
- DFFLQNx1_ASAP7_75t_R _633_ (
- .CLK(_055_),
- .D(_057_),
- .QN(_050_)
- );
- DFFLQNx1_ASAP7_75t_R _634_ (
- .CLK(_055_),
- .D(_058_),
- .QN(_049_)
- );
- DFFLQNx1_ASAP7_75t_R _635_ (
- .CLK(_055_),
- .D(_059_),
- .QN(_048_)
- );
- DFFLQNx1_ASAP7_75t_R _636_ (
- .CLK(_055_),
- .D(_060_),
- .QN(_047_)
- );
- DFFLQNx1_ASAP7_75t_R _637_ (
- .CLK(_055_),
- .D(_061_),
- .QN(_046_)
- );
- DFFLQNx1_ASAP7_75t_R _638_ (
- .CLK(_055_),
- .D(_062_),
- .QN(_045_)
- );
- DFFLQNx1_ASAP7_75t_R _639_ (
- .CLK(_055_),
- .D(_063_),
- .QN(_044_)
- );
- DFFLQNx1_ASAP7_75t_R _640_ (
- .CLK(_055_),
- .D(_064_),
- .QN(_043_)
- );
- DFFLQNx1_ASAP7_75t_R _641_ (
- .CLK(_055_),
- .D(_065_),
- .QN(_042_)
- );
- DFFLQNx1_ASAP7_75t_R _642_ (
- .CLK(_055_),
- .D(_066_),
- .QN(_041_)
- );
- DFFLQNx1_ASAP7_75t_R _643_ (
- .CLK(_055_),
- .D(_067_),
- .QN(_040_)
- );
- DFFLQNx1_ASAP7_75t_R _644_ (
- .CLK(_055_),
- .D(_068_),
- .QN(_039_)
- );
- DFFLQNx1_ASAP7_75t_R _645_ (
- .CLK(_055_),
- .D(_069_),
- .QN(_038_)
- );
- DFFLQNx1_ASAP7_75t_R _646_ (
- .CLK(_055_),
- .D(_070_),
- .QN(_037_)
- );
- DFFLQNx1_ASAP7_75t_R _647_ (
- .CLK(_055_),
- .D(_071_),
- .QN(_036_)
- );
- DFFLQNx1_ASAP7_75t_R _648_ (
- .CLK(_055_),
- .D(_072_),
- .QN(_035_)
- );
- DFFLQNx1_ASAP7_75t_R _649_ (
- .CLK(_055_),
- .D(_073_),
- .QN(_034_)
- );
- DFFLQNx1_ASAP7_75t_R _650_ (
- .CLK(_055_),
- .D(_074_),
- .QN(_033_)
- );
- DFFLQNx1_ASAP7_75t_R _651_ (
- .CLK(_055_),
- .D(_075_),
- .QN(_032_)
- );
- DFFLQNx1_ASAP7_75t_R _652_ (
- .CLK(_055_),
- .D(_076_),
- .QN(_031_)
- );
- DFFLQNx1_ASAP7_75t_R _653_ (
- .CLK(_055_),
- .D(_077_),
- .QN(_030_)
- );
- DFFLQNx1_ASAP7_75t_R _654_ (
- .CLK(_055_),
- .D(_078_),
- .QN(_029_)
- );
- DFFLQNx1_ASAP7_75t_R _655_ (
- .CLK(_055_),
- .D(_079_),
- .QN(_028_)
- );
- DFFLQNx1_ASAP7_75t_R _656_ (
- .CLK(_055_),
- .D(_080_),
- .QN(_027_)
- );
- DFFLQNx1_ASAP7_75t_R _657_ (
- .CLK(_055_),
- .D(_081_),
- .QN(_026_)
- );
- DFFLQNx1_ASAP7_75t_R _658_ (
- .CLK(_055_),
- .D(_082_),
- .QN(_025_)
- );
- DFFLQNx1_ASAP7_75t_R _659_ (
- .CLK(_055_),
- .D(_083_),
- .QN(_024_)
- );
- DFFLQNx1_ASAP7_75t_R _660_ (
- .CLK(_055_),
- .D(_084_),
- .QN(_023_)
- );
- DFFLQNx1_ASAP7_75t_R _661_ (
- .CLK(_055_),
- .D(_085_),
- .QN(_022_)
- );
- DFFLQNx1_ASAP7_75t_R _662_ (
- .CLK(_055_),
- .D(_086_),
- .QN(_021_)
- );
- DFFLQNx1_ASAP7_75t_R _663_ (
- .CLK(_055_),
- .D(_087_),
- .QN(_020_)
- );
- DFFLQNx1_ASAP7_75t_R _664_ (
- .CLK(_055_),
- .D(_088_),
- .QN(_019_)
- );
- DFFLQNx1_ASAP7_75t_R _665_ (
- .CLK(_055_),
- .D(_089_),
- .QN(_018_)
- );
- DFFLQNx1_ASAP7_75t_R _666_ (
- .CLK(_055_),
- .D(_090_),
- .QN(_017_)
- );
- DFFLQNx1_ASAP7_75t_R _667_ (
- .CLK(_055_),
- .D(_091_),
- .QN(_016_)
- );
- DFFLQNx1_ASAP7_75t_R _668_ (
- .CLK(_055_),
- .D(_092_),
- .QN(_015_)
- );
- DFFLQNx1_ASAP7_75t_R _669_ (
- .CLK(_055_),
- .D(_093_),
- .QN(_014_)
- );
- DFFLQNx1_ASAP7_75t_R _670_ (
- .CLK(_055_),
- .D(_094_),
- .QN(_013_)
- );
- DFFLQNx1_ASAP7_75t_R _671_ (
- .CLK(_055_),
- .D(_095_),
- .QN(_012_)
- );
- DFFLQNx1_ASAP7_75t_R _672_ (
- .CLK(_055_),
- .D(_096_),
- .QN(_011_)
- );
- DFFLQNx1_ASAP7_75t_R _673_ (
- .CLK(_055_),
- .D(_097_),
- .QN(_010_)
- );
- DFFLQNx1_ASAP7_75t_R _674_ (
- .CLK(_055_),
- .D(_098_),
- .QN(_009_)
- );
- DFFLQNx1_ASAP7_75t_R _675_ (
- .CLK(_055_),
- .D(_099_),
- .QN(_008_)
- );
- DFFLQNx1_ASAP7_75t_R _676_ (
- .CLK(_055_),
- .D(_100_),
- .QN(_007_)
- );
- DFFLQNx1_ASAP7_75t_R _677_ (
- .CLK(_055_),
- .D(_101_),
- .QN(_006_)
- );
- DFFLQNx1_ASAP7_75t_R _678_ (
- .CLK(_055_),
- .D(_102_),
- .QN(_005_)
- );
- DFFLQNx1_ASAP7_75t_R _679_ (
- .CLK(_055_),
- .D(_103_),
- .QN(_004_)
- );
- DFFLQNx1_ASAP7_75t_R _680_ (
- .CLK(_055_),
- .D(_104_),
- .QN(_003_)
- );
- endmodule
复制代码
|