在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3123|回复: 17

[求助] lvs问题

[复制链接]
发表于 2022-7-11 11:37:59 | 显示全部楼层 |阅读模式
100资产
大神,小弟最近在做数模混合电路,数字电路已经从icc中写出了gds,和相对应的v文件。现在有两个问题:
第一个问题是,我已经把gds文件读入进了virtuoso里面,已经merge完了,drc可以做,但lvs有问题。
31c41bcf8fea3138fb9e2a35488c126.jpg 4c351c5258998ec990caaf5ca0f5fac.jpg
第二个问题是,我只在virtuoso里面导入了版图,原理图该怎么导入,或者导入哪个,毕竟我要和模拟电路连接,不知道连哪个原理图。
write_verilog -pg $export_path/$design_name\_all.v
write_verilog -pg  -unconnected_ports -wire_declaration -keep_backslash_before_hiersep -no_physical_only_cells -force_output_references {FDCAP4_9TV50 FDCAP8_9TV50 FDCAP12_9TV50 } $export_path/$design_name\_lvs.v
write_verilog -no_core_filler_cells \
              -no_pg_pin_only_cells \
              -no_physical_only_cells \
              -no_pad_filler_cells $export_path/$design_name\_sim.v
set_write_stream_options -child_depth 99 -output_pin {text} -pin_name_mag 0.1
write_stream -format gds -lib_name $lib_name -cells $design_name\_final $export_path/$design_name.gds

这是我写的一些文件,第一个问题中读入的v文件就是这里面的_lvs文件。
大神们,江湖救急

发表于 2022-7-11 15:50:12 | 显示全部楼层
看下库的网表是不是缺 或者是不是有哪些没权限 你报错的文件往上拉一拉 应该有别的warning或者error
发表于 2022-7-11 15:52:26 | 显示全部楼层
还有一种可能 你是不是把空的module写出来了 没有对应的库网表
 楼主| 发表于 2022-7-12 09:37:21 | 显示全部楼层


ivybingyueabo 发表于 2022-7-11 15:52
还有一种可能 你是不是把空的module写出来了 没有对应的库网表


大神,错误就是那两个,警告类型就一种,数量不少。
image.png
您昨天提出来的两个猜想,这个库网表怎么看呢,或者怎么修呢
发表于 2022-7-13 17:09:26 | 显示全部楼层
就是pr出来的.v不是需要v2lvs处理一下么,v2lvs的run里会include很多个库网表文件,建议你可以看看是不是少了rvt的std的网表,如果没检查出问题就检查写出来的gds .v;merge和v2lvs过程中缺不缺库.
发表于 2022-7-13 17:21:39 | 显示全部楼层
你看下你网表看看module名,打开gds看看top名是大写还是小写 为啥你报错的是大写的jst_final
 楼主| 发表于 2022-7-14 09:49:03 | 显示全部楼层


ivybingyueabo 发表于 2022-7-13 17:21
你看下你网表看看module名,打开gds看看top名是大写还是小写 为啥你报错的是大写的jst_final ...


image.png
我没有大写的,都是小写呀,但我的网表里面也没有jst_final。
发表于 2022-7-14 13:19:21 | 显示全部楼层
没有用过MIXED format,你可以试试把PR生成的verilog转成spice format
 楼主| 发表于 2022-7-14 14:04:48 | 显示全部楼层


Rucas 发表于 2022-7-14 13:19
没有用过MIXED format,你可以试试把PR生成的verilog转成spice format


是这个命令吧
v2lvs -v verilog_design_file -o output_spice_file
[-l verilog_lib_file] [-lsp spice_library_file]
[-lsr spice_library_file] [-s spice_library_file]
[-s0 groundnet] [-s1 powernet] [-sk]
 楼主| 发表于 2022-7-20 13:26:54 | 显示全部楼层


Rucas 发表于 2022-7-14 13:19
没有用过MIXED format,你可以试试把PR生成的verilog转成spice format


大神,我转换了sp 还是报错
43cc9c05ebfac6a33bf2805d987722f.jpg
这是转换的警告
cc650a2f032486c76555bc17d05b62d.jpg
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 13:25 , Processed in 0.028393 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表