在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1856|回复: 5

[求助] virtuoso 把gds转def

[复制链接]
发表于 2022-3-4 20:07:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
   需要导出一些金属连线给到后端,在virtuoso CIW 用export ->def导出def, 转出来的def里面via被过滤了,请问有人知道如何转出正确的def吗?
微信图片_20220304200427.jpg
发表于 2022-3-5 18:01:09 | 显示全部楼层
被过滤的VIA有connectivity的属性吗
发表于 2022-3-16 10:43:09 | 显示全部楼层
  if {$SIGNOFF_DRC_ENGINE == "HERCULES"} {
    signoff_drc -read_cel_view                     
  } elseif { $SIGNOFF_DRC_ENGINE == "ICV"} {
    signoff_drc -read_cel_view -ignore_child_cell_errors -user_defined_options {-holding_cell}
  
    # Generate autofix config_file
    if {![file exists [file tail [file rootname ${SIGNOFF_DRC_RUNSET}]]_config_file]} {
    exec $env(ICV_HOME_DIR)/contrib/generate_layer_rule_map.pl \
          -dplog ./signoff_drc_run/run_details/[file tail [file rootname ${SIGNOFF_DRC_RUNSET}]].dp.log \
          -tech_file ${TECH_FILE} \
          -o [file tail [file rootname ${SIGNOFF_DRC_RUNSET}]]_config_file
    }
   
    signoff_autofix_drc -config_file [file tail [file rootname ${SIGNOFF_DRC_RUNSET}]]_config_file \
                        -init_drc_error_db signoff_drc_run

    # Save cell as signoff_drc works on data on disk
    save_mw_cel
    signoff_drc -read_cel_view                     
  }

}
发表于 2022-3-16 15:12:29 | 显示全部楼层
v2lvs -s ref_lib_file -v verilog_file -o spice_file  ref_lib_file:参考的标准单元库 verilog_file:需要进行转换的verilog网表(APR后生成的网表) spice_file:期望生成的spice网表
 楼主| 发表于 2022-3-24 20:07:14 | 显示全部楼层


远上寒山 发表于 2022-3-5 18:01
被过滤的VIA有connectivity的属性吗


  导出前给金属线和via都加上net命名,就能导出了
 楼主| 发表于 2022-3-24 20:08:55 | 显示全部楼层


suma5213 发表于 2022-3-16 10:43
if {$SIGNOFF_DRC_ENGINE == "HERCULES"} {
    signoff_drc -read_cel_view                     
  } elseif { $SIGN ...


多谢大佬,我试试
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 23:15 , Processed in 0.023920 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表