在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1899|回复: 4

[求助] 一个glitch free clock mux 的时序约束问题

[复制链接]
发表于 2022-1-20 23:27:34 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
如图,是一个glitch free clock mux 的电路图,我尝试着写了一个sdc约束,但是不确定写的是否完整正确,还请大神指教。
下面是sdc约束:

create_clock -period 8  -name clk0 [get_ports clk0]
create_clock -period 10  -name clk1 [get_ports clk1]

create_generated_clock -name clk_mid0 -divide_by 1 -source clk0 [get_pins AND0/Y ]
create_generated_clock -name clk_mid1 -divide_by 1 -source clk1 [get_pins AND1/Y ]

create_generated_clock -name outclock0 -divide_by 1 -source clk_mid0 [get_pins OR/Y ]
create_generated_clock -name outclock1 -divide_by 1 -source clk_mid1 [get_pins OR/Y ] -add


clock_mux.jpg
发表于 2022-1-21 00:56:43 | 显示全部楼层
你后面又定义那么一串的generated clock目的何在,没啥意义
 楼主| 发表于 2022-1-21 16:26:23 | 显示全部楼层


hiee 发表于 2022-1-21 00:56
你后面又定义那么一串的generated clock目的何在,没啥意义


只需要约束最后的outclock那里吗?
发表于 2022-1-22 04:34:29 | 显示全部楼层


peacepark 发表于 2022-1-21 02:26
只需要约束最后的outclock那里吗?


最后OR输出不需要create_generated_clock

发表于 2022-1-30 20:12:38 | 显示全部楼层
我同事的case, 只對OR2輸出作約束
create_clock -period 8  -name clk_8ns [get_pins U_or/Z]

我的project是
create_clock -period 8  -name clk0 [get_ports clk0]
create_clock -period 10  -name clk1 [get_ports clk1]
create_generated_clock -name clk_8n [get_pins u_OR/Z] -divide_by 1 -source [get_ports clk0]
create_generated_clock -name clk_10n [get_pins u_OR/Z] -divide_by 1 -source [get_ports clk1]
不知這樣適合嗎?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 16:29 , Processed in 0.022663 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表