在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1368|回复: 1

[求助] Vivado 下 用户定义带参数化的IP核例化请教

[复制链接]
发表于 2022-1-14 23:04:24 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

问题:
  Vivado 下 用户定义带参数化的IP核,通过如下方式例化,VIVADO下无法综合;
  其中 FpEvenodd_0 是用户自定义带参数的IP核;

请教 Vivado 下 用户定义带参数化的IP核如何例化?


defparam    FpEvenodd_0_instance_name1.N_even=10;
defparam    FpEvenodd_0_instance_name1.N_odd=89; //

defparam    FpEvenodd_0_instance_name2.N_even=1200;
defparam    FpEvenodd_0_instance_name2.N_odd=109;

defparam    FpEvenodd_0_instance_name3.N_even=2;
defparam    FpEvenodd_0_instance_name3.N_odd=7;

//其中
FpEvenodd_0     FpEvenodd_0_instance_name1 (
                .nRst(g_rst_n),                  // input wire nRst
                .clk_in(clk_12m),              // input wire clk_in
                .clk_out_even(clk_1m2),  // output wire clk_out_even
                .clk_out_odd(clk_out_odd1)    // output wire clk_out_odd
            );

FpEvenodd_0     FpEvenodd_0_instance_name2 (
                .nRst(g_rst_n),                  // input wire nRst
                .clk_in(clk_12m),              // input wire clk_in
                .clk_out_even(clk_10k),  // output wire clk_out_even
                .clk_out_odd(clk_out_odd2)    // output wire clk_out_odd
            );
FpEvenodd_0     FpEvenodd_0_instance_name3 (
                .nRst(g_rst_n),                  // input wire nRst
                .clk_in(clk_100m),              // input wire clk_in
                .clk_out_even(clk_50m),  // output wire clk_out_even
                .clk_out_odd(clk_out_odd3)    // output wire clk_out_odd
            );


image.png

image.png
发表于 2022-1-20 12:04:53 | 显示全部楼层
defparam这种用法在altera的IP里面用过,用法是在模块例化之后
A A_inst(……
);
defparam  
       A_inst.parameter_value_1,
       ……
       A_inst.parameter_value_N;

不知道Vivado支不支持这种写法。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 01:44 , Processed in 0.017402 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表