在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1889|回复: 4

[讨论] reset 信號, create_clock 優缺點

[复制链接]
发表于 2021-12-14 20:51:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
請問版上高手,
reset signal 以create_clock 來定義有甚麼優缺點?
发表于 2021-12-15 09:19:43 | 显示全部楼层
如果不想DC给reset fix DRC,插buffer,用set_ideal_net即可。
reset也是需要分析timing,如果是IO上来,应该给set_input_delay,相对于某个clk。
 楼主| 发表于 2021-12-16 23:04:52 | 显示全部楼层
根據 user guide.
Clocks you create by using the create_clock command ignore delay effects of the
clock network. Therefore, for accurate timing analysis, you describe the clock network
in terms of its latency and skew. See also clock latency and clock skew.

所以reset signal 以create_clock 定義之後, 沒有任何delay.  必須使用 set_clock_latency.
如果使用set_input_delay指令, 則  不要用create_clock 定義reset signal, 是不是比較正確?
 楼主| 发表于 2021-12-16 23:35:52 | 显示全部楼层
根據 DC student guide.
Load balancing is also known as “design rule optimization”, which entails meeting
maximum/minimum transition, capacitance and/or fanout “design rules”. These design rules are
defined in the technology library and apply to non-clock nets. Clock nets are exempt from meeting
these design rules.

在Constraining Designs for Synthesis and Timing Analysis  這本書裏, 作者提到

...... To prevent this, user can define design objects like cells, pins, or nets as ideal, which
implies that such objects are not required to adhere to design rules like maximum
capacitance, fanout, and transition.

所以, 將reset singal 以create_clock 定義. 我覺得效果跟set_ideal_network 似乎一樣. 只是寫法不同.

发表于 2023-5-22 05:45:11 | 显示全部楼层
非常感谢你提供的信息。期待新品的到来
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 00:48 , Processed in 0.021503 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表