在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
123
返回列表 发新帖
楼主: became_an_icer

[求助] 运行uvm时出现it is not registered with the factory.怎么解决

[复制链接]
发表于 2021-11-22 11:16:14 | 显示全部楼层


became_an_icer 发表于 2021-11-20 21:32
感谢你的帮忙,已经解决了问题了,import和include要在最上面,要将其他文件也要include进去,还有就是 ...


不太经常上论坛溜达,万事开头难,多解决一些compile error就熟悉怎么解决了,加油
发表于 2024-3-6 10:42:52 | 显示全部楼层
楼主最终答案是什么
发表于 2024-3-28 16:57:00 | 显示全部楼层


0917985 发表于 2024-3-6 10:42
楼主最终答案是什么


my_test.sv没有include进去。实际如果是顺序问题编译的时候就会报出来了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 18:43 , Processed in 0.017439 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表