在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: became_an_icer

[求助] 运行uvm时出现it is not registered with the factory.怎么解决

[复制链接]
 楼主| 发表于 2021-11-17 23:02:02 | 显示全部楼层


sfc19910705 发表于 2021-11-17 22:21
import uvm_pkg::*;
    `include "uvm_macros.svh"
program automatic test;


变成这样了  Following verilog source has syntax error :
          token 'my_env' should be a valid type. Please declare it virtual if
  it is an Interface.
  "my_test.sv", 4: token is ';'
       my_env m_env;
                    ^
你好,看到我给你发的私信了吗,可以加一下好友吗,我发代码给你,可以吗

 楼主| 发表于 2021-11-18 16:40:52 | 显示全部楼层
本帖最后由 became_an_icer 于 2021-11-18 16:48 编辑


sfc19910705 发表于 2021-11-17 17:13
淡定,vcs编译命令里面是不是少了$UVM_HOME/src/uvm_pkg.sv


这是我的代码,尝试了很多方法还是有问题。求大佬帮帮忙,帮忙编译仿真下,找找问题,感谢

链接:https://pan.baidu.com/s/1lqZNi_erEwN_UMQi6Rtbcw
提取码:5200

发表于 2021-11-18 17:09:43 | 显示全部楼层
uvm_test 不识别,就是uvm库没编译啊
发表于 2021-11-18 17:12:20 | 显示全部楼层
+incdir+xxxxxx/uvm-1.2/src
然后需要手动
`include "uvm.sv"
 楼主| 发表于 2021-11-18 19:30:16 | 显示全部楼层
本帖最后由 became_an_icer 于 2022-4-9 12:54 编辑


cjdd369 发表于 2021-11-18 17:12
+incdir+xxxxxx/uvm-1.2/src
然后需要手动
`include "uvm.sv"


好的

发表于 2021-11-18 21:47:59 来自手机 | 显示全部楼层
感觉你的学习方法有点不太对,你这些编译错误都是很基本很简单的,你应该先找一个别人弄好的环境学习下,论坛里就有很多,比如uvm lab,都发了很多次了
发表于 2021-11-19 09:33:38 | 显示全部楼层
可能的一个思路就是你的pkg里面include的文件顺序需要变一下,把一些独立的agent或者组件文件放到例化的那个文件的前面
 楼主| 发表于 2021-11-19 17:58:44 | 显示全部楼层


eaglezhang01 发表于 2021-11-18 21:47
感觉你的学习方法有点不太对,你这些编译错误都是很基本很简单的,你应该先找一个别人弄好的环境学习下,论 ...


好的,谢谢你的建议
 楼主| 发表于 2021-11-20 21:26:17 | 显示全部楼层


新嘴小王安子 发表于 2021-11-19 09:33
可能的一个思路就是你的pkg里面include的文件顺序需要变一下,把一些独立的agent或者组件文件放到例化的那 ...


十分感谢,,
    import uvm_pkg::*;
    `include "uvm_macros.svh"
`include "uvm.sv"
`include "my_transaction.sv"
`include "my_sequence.sv"
`include "my_sequencer.sv"
`include "my_driver.sv"
`include "my_monitor.sv"
`include "my_agent.sv"
`include "my_env.sv"
`include "my_test.sv"

module test;
    initial begin
        run_test("");
    end
endmodule


这样就可以了,位置和顺序是很重要的,感谢
 楼主| 发表于 2021-11-20 21:32:57 | 显示全部楼层


sfc19910705 发表于 2021-11-17 22:21
import uvm_pkg::*;
    `include "uvm_macros.svh"
program automatic test;



感谢你的帮忙,已经解决了问题了,import和include要在最上面,要将其他文件也要include进去,还有就是我之前include文件时忘记加.sv后缀了,导致一直出现问题。还是很感谢哈

   import uvm_pkg::*;
    `include "uvm_macros.svh"
`include "uvm.sv"
`include "my_transaction.sv"
`include "my_sequence.sv"
`include "my_sequencer.sv"
`include "my_driver.sv"
`include "my_monitor.sv"
`include "my_agent.sv"
`include "my_env.sv"
`include "my_test.sv"

module test;
    initial begin
        run_test("");
    end
endmodule

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 15:55 , Processed in 0.026366 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表