在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1475|回复: 2

[求助] 求助vivado中BRAM的类型

[复制链接]
发表于 2021-7-29 16:25:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
如题,vivado 中调用IP core生成的BRAM是属于哪种RAM,是SRAM吗,还有哪里能找到器件内部的时序信息啊,比如反相器延迟是多少,老师让我们做一个cpu练练手,但不允许使用寄存器当ram,把Xilinx官网翻遍了也没找到想要的信息。。。。
听说Xilinx家的FPGA都是自己设计、自己制造的,也不知道是不是
发表于 2021-7-29 18:29:11 | 显示全部楼层
你目标器件是哪个?

拿xilinx 7 series为例,RAM有BlockRAM和DistributedRAM两种,前者是7系列FPGA固有的资源,你用或者不用,它都在那里;后者是CLB内部SLICEM产生,本质是LUT构成。导师不准你用寄存器当ram,你可以通过vivado自己生成需要的深度、位宽的RAM ip,在RTL种例化。xilinx pg058-blk-mem-gen和pg063-dist-mem-gen分别描述了7系列FPGA这两种RAM。

Xilinx 在对应器件的datasheet Switching Characteristics章节,有分别描述IO/CLB/RAM/PLL的时序信息。FPGA器件结构都是各厂商自己定制,每个FPGA系列有自己的design elements,拿7系列来说,好像没有专门的反相器,RTL里的非门,可能实际电路是一个LUT。

举个例子,Xilinx spartan-7 CLB组合逻辑延时:
image.png
PS:了解器件的延时,最直观的办法是查看P&R之后的时序报告。
 楼主| 发表于 2021-7-30 10:14:39 | 显示全部楼层


aegeus 发表于 2021-7-29 18:29
你目标器件是哪个?

拿xilinx 7 series为例,RAM有BlockRAM和DistributedRAM两种,前者是7系列FPGA固有的 ...


谢谢谢谢,帮大忙了,我当时看见这类文档标题,我以为是交直流转换的,还楞了一下fpga里咋有交直流转换,现在反应过来这个应该是digital circuit和analog circuit,也就是数模转换,还是自己太菜了,,最后还是感谢您的帮助!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 07:19 , Processed in 0.018447 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表