在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 12231|回复: 50

后仿问题,大家给看看。

[复制链接]
发表于 2021-5-19 10:16:14 | 显示全部楼层 |阅读模式
1000资产
后仿,问题大家给看看是什么原因?

设置vcs,warning

设置vcs,warning

后仿结果

后仿结果

前仿

前仿

最佳答案

查看完整内容

你看的是网表吧,里面信号被综合过的,有z状态是正常的没事儿。后仿一般就是验异步域,如果没异步域,PT OK,前仿sucess那后仿应该成功的。所以先确定sdf反标成功否,再看有无违例,debug等…… sdf反标成功否可以问度娘 没见过这个warning不太清楚,你是加了+delay_mode_zero/distributed了吗?
发表于 2021-5-19 10:16:15 | 显示全部楼层


IC有我心 发表于 2021-5-20 16:13
我看了一下,源代码里面设为定值得地方,现在全是z状态。这是什么原因?
...


你看的是网表吧,里面信号被综合过的,有z状态是正常的没事儿。后仿一般就是验异步域,如果没异步域,PT OK,前仿sucess那后仿应该成功的。所以先确定sdf反标成功否,再看有无违例,debug等……
sdf反标成功否可以问度娘
没见过这个warning不太清楚,你是加了+delay_mode_zero/distributed了吗?
发表于 2021-5-19 11:09:47 | 显示全部楼层
try to use *_neg.v
发表于 2021-5-19 11:13:10 | 显示全部楼层
try to use *_neg.v

发表于 2021-5-19 12:02:07 | 显示全部楼层
在SDF里找一下,对应报错触发器reg1_reg_10是否有几条SETUPHOLD有负值,改成0。从根本上来讲,这个设计用到了同时带有SN, RN的触发器。 这种通常不会用到。不是不可以用,用的时候要小心,要保障SN, RN不会同时为低。这个应该是SPI slave interface设计,理论上没必要用到的这种复杂的触发器。
发表于 2021-5-19 12:29:58 | 显示全部楼层
看波形是没反标上吧,写的sdf是3.0版吗?应该3.0才支持负值反标
发表于 2021-5-19 14:42:44 | 显示全部楼层
看看sdf反标的log
发表于 2021-5-19 15:21:43 | 显示全部楼层
你看看是否可以用2.1版本sdf反标。

发表于 2021-5-19 15:24:18 | 显示全部楼层
3.0 版本的sdf没有2.1的好用,
我们这里用的verilog库,厂家提供了2.1版和3.0版两种
发表于 2021-5-19 15:28:20 | 显示全部楼层
以前通过用2.1版本的sdf解决这个问题的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 05:00 , Processed in 0.034567 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表