在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2725|回复: 3

各位仁兄,用VHDL可不可以编写几个ns的延时

[复制链接]
发表于 2003-9-6 09:56:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位仁兄,用Vhdl可不可以编写几个ns的延时,并且用MAX+PLUS进行编译和纺真?
发表于 2003-9-6 11:36:28 | 显示全部楼层

各位仁兄,用VHDL可不可以编写几个ns的延时

基本上不行。
发表于 2003-9-6 19:02:20 | 显示全部楼层

各位仁兄,用VHDL可不可以编写几个ns的延时

还是自己加几个中间信号来调试吧,应该可以满足你的要求
 楼主| 发表于 2003-9-7 20:54:43 | 显示全部楼层

各位仁兄,用VHDL可不可以编写几个ns的延时

谢了,我试一下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-15 06:29 , Processed in 0.020650 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表