|
10资产
`timescale 1ns/1ns
module race1;
bit clk1, clk2;
bit rstn;
logic[7:0] d1;
initial begin
forever #5 clk1 <= !clk1;
end
always @(clk1) clk2 <= clk1;
initial begin
#10 rstn <= 0;
#20 rstn <= 1;
end
always @(posedge clk1, negedge rstn) begin
if(!rstn) d1 <= 0;
else d1 <= d1 + 1;
end
always @(posedge clk1) $display("%0t ns d1 value is 0x%0x", $time, d1);
always @(posedge clk2) $display("%0t ns d1 value is 0x%0x", $time, d1);
endmodule
上面的代码可以在别人电脑上编译,并且在执行 ./simv -gui之后能够在DVE文件中显示要仿真信号,但是在自己电脑上DVE却显示下面的情况:
Error: [UCLI-003] Unknown flag or misspelled flag
Flag '/test2/inter.vpd' is not supported by this command.
Please enter 'dump -help' to see valid command flags.
|
最佳答案
查看完整内容
在bench里加上
initial begin
$vcdpluson;
end
使用dve -full64打开dve载入.vpd文件看波形
|