在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1238|回复: 3

[求助] DC没法使用standard和dw_foundation是什么原因?

[复制链接]
发表于 2021-2-23 09:53:29 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
求大佬帮忙看下原因,非常感谢!
以前一致可以用所以没太care需要怎么设定。
我之前的做法是 synthetic_library link_library 中添加standard.sldbdw_foundation.sldb.
然后:define_design_lib "dw_foundation standard" -path "/home/software/synopsys/syn-J-2014.09-SP4/libraries/syn"
综合的指令是compile_ultra
log中有一个这样的提示:
image.png
这个是提示没有可用的,还是全部可用?
总之,就是最后所以运算符号都没有被自动调用DesignWare中的module。
有知道为什么的朋友帮下忙,不胜感激。

image.png
发表于 2021-2-23 11:11:05 | 显示全部楼层
 楼主| 发表于 2021-2-23 15:14:37 | 显示全部楼层
没有老哥们回帖吗
 楼主| 发表于 2021-4-8 10:56:26 | 显示全部楼层
问题是他们没有购买license
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 20:19 , Processed in 0.026205 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表