在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: FFFYY

求助cadence xcelium的license破解问题

[复制链接]
发表于 2021-1-22 19:40:49 | 显示全部楼层


FFFYY 发表于 2021-1-22 17:21
老哥, 我们说的应该不是一个license破解器,我找的破解器里也有你说的这种格式的,改了之后执行lmgrd -c  ...


你patch了吗?

之前坛子里有人发过可用的license
发表于 2021-1-22 21:16:30 | 显示全部楼层


FFFYY 发表于 2021-1-22 17:21
老哥, 我们说的应该不是一个license破解器,我找的破解器里也有你说的这种格式的,改了之后执行lmgrd -c  ...


no need lmgrd -c, just set license path in your shell invirment.
发表于 2021-1-27 06:46:08 | 显示全部楼层


image.png

你看一下lmgrd的版本,不能太高也不能太低
发表于 2021-1-27 08:39:23 | 显示全部楼层
pubkey了吗?
发表于 2021-8-24 00:48:44 | 显示全部楼层
thakns
发表于 2021-8-24 00:55:45 | 显示全部楼层
thanks
发表于 2021-8-24 15:32:19 | 显示全部楼层
使用OPEN的path文件 加上这个帖子里的license http://bbs.eetop.cn/thread-856268-1-1.html
发表于 2021-9-29 17:48:30 | 显示全部楼层
Thanks!
发表于 2022-3-21 18:31:47 | 显示全部楼层
本帖最后由 ClancyLee 于 2022-3-21 18:34 编辑


FFFYY 发表于 2021-1-22 15:15
我添加了关于xcelium的feature,也是报相同的错误。问题是无论我是否添加所需的feature,这些license文件 ...


大佬,请问可以分享一下你用的license吗,我想用Genus和Innovus, 但是我目前装好的license只能启动Virtuoso,,genus在check license的时候会Fail
截图.png
发表于 2022-4-20 18:42:47 | 显示全部楼层
Loading snapshot worklib.tb:v .................... Done
xmsim: *F,INTERR: INTERNAL EXCEPTION
Observed simulation time : 0 FS + 0
-----------------------------------------------------------------
The tool has encountered an unexpected condition and must exit.
Contact Cadence Design Systems customer support about this
problem and provide enough information to help us reproduce it,
including the logfile that contains this error message.
  TOOL:        xmsim(64)        18.03-s001
  HOSTNAME: soledadlxw-virtual-machine
  OPERATING SYSTEM: Linux 5.15.0-25-generic #25-Ubuntu SMP Wed Mar 30 15:54:22 UTC 2022 x86_64
  MESSAGE: sv_seghandler - trapno -1 addr((nil))
-----------------------------------------------------------------
csi-xmsim - CSI: Cadence Support Investigation, sending details to /home/soledadlxw/Desktop/work/xrun_demo/xmsim_3403.err
一直报这个问题,
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-17 00:10 , Processed in 0.024102 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表