在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3630|回复: 2

[原创] perf-v开发板烧录及debug总结

[复制链接]
发表于 2021-1-9 10:31:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Perf-v蜂鸟开发板JTAG下载流程
一.可以在创建工程时候根据板子选择FPGA型号也可以之后选,这里Perf-V开发板所用FPGA型号为xc7a35tffg256-1
image.png
file:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps1.jpg
二.工程创建之后添加资源文件(.v)
此时可先不定义IO端口
三.添加XDC管脚约束文件
ISE 软件不同, Vivado 使用的约束文件格式为 xdc 文件。xdc 文件里主要是完成管脚的约束,时钟的约束, 以及组的约束。这里我们需要对 led_test.v 程序中的输入输出端口分配到 FPGA的真实管脚上, 这需要准备一个 FPGA 的引脚绑定文件.xdc 并添加到工程中。
image.png
file:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps2.jpg
#管脚约束如下:
set_property PACKAGE_PIN "引脚编号" [get_ports “端口名称”
#电平信号的约束如下:
set_property IOSTANDARD "电压" [get_ports “端口名称”
#时钟周期的约束方法如下:
create_clock -period "周期" [get_ports "端口名称


四.编译
1)综合
点击 Run Synthesis,即可开始综合并生成网表文件:
2)布局布线
综合完成后,会弹出这样一个提示小窗口。可以点这里的 Run Implementation 来开始布局布线:
3)生成bit文件
设置生成bin文件右键点击Generate Bitstream选择Bitstream setting
image.png
,设置完Ok后即可Generate Bitstream
file:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps3.jpg

常见问题:
1. 进行vivado开发时,Generate Bitstream报错[DRC NSTD-1],详细解决步骤新建记事本,添加以下三句:
set_property SEVERITY {Warning} [get_drc_checks NSTD-1]
set_property SEVERITY {Warning} [get_drc_checks RTSTAT-1]
set_property SEVERITY {Warning} [get_drc_checks UCIO-1]
重命名为  name.tcl文件(确定后缀格式有效)
generate bitstream 界面如下,右键进入setting
image.png
image.png
file:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps4.jpg
file:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps5.jpg
将新建的.tcl文件添加进来
再一次Generate bitstream  ,大功告成!
2. 进行vivado开发时,Generate Bitstream报错
[DRC BIVC-1] Bank IO standard Vcc: Conflicting Vcc voltages in bank 34. For example, the following two ports in this bank have conflicting VCCOs:  
clk (LVCMOS18, requiring VCCO=1.800) and a_to_g[0] (LVCMOS33, requiring VCCO=3.300)
解决步骤:clk及dp的VCCOS为默认的LVCMOS18,未进行更改改为LVCMOS33即可

五.烧录
Open Hardware Manager,点automatic detection
image.png file:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps6.jpg      
右键器件名添加之前生成的BIT文件
image.png
file:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps7.jpg
然后添加flash型号
image.png
file:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps8.jpg
查找perf-V开发板官网器件手册显示flash型号为n25q64-3.3
烧写;
image.png
file:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps9.jpg









Perf-v蜂鸟开发板Debug流程
三个阶段:
1. 探测信号:在设计中标志想要查看的信号
2. 布局布线:给包含了debug IP的设计布局布线
3. 分析:上板看信号
一.file:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps10.jpgfile:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps11.jpg1.Open synthesized Design >>选择想查看的net右键make debug 后点击Tool >> Set Up Debug  
2.选择 ILA 的驱动 clkclk 一般为内部的 clk_buffer 信号(这里选择采样深度1024)
image.png
file:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps12.jpg
2. 重新generate bitstream(其中会重新综合和 implementation)
Generate bitstream过程中常见问题:

image.png file:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps13.jpg
解决方法:关闭工程重新打开即可
3.Program Device时同时添加生成的.bit和.ltx文件
image.png
file:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps14.jpg
结果分析:
集成逻辑分析仪ILA例化

1. 如果执行了之前自动插入 ILA,现在需要去掉之前的 ILA 模块:
image.png

file:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps17.jpg
选择之前有效瓢虫的信号,右键 unmarke debug。去掉所有的需要 debug 的信号如下,小瓢虫自动消失

2.加入 ILA 核, vivado 工程中打开 IP Catalog 选项,找到 ILA 核,配置 probe个数和例化名。

3.现在可以例化生成的IP核.ila0到我们的RTL中
image.png
file:///C:/Users/DELL/AppData/Local/Temp/ksohtml20112/wps18.jpg
重新 rerun 综合,查看例化如下,查看到 state[0]其实是 one hot code 寄存器自己组合生成。
4.generate bit stream-> 重新 implement 后生成 bit ltx 文件。
烧录两个文件和之前过程一样。然后添加波形,手动 trigger,点解 run 按钮即可抓到波形。



image.png
image.png
image.png
发表于 2021-1-10 13:02:14 | 显示全部楼层
广告?
发表于 2024-5-6 18:29:09 | 显示全部楼层
asip  degesign
debug
微信图片_20240429143324.png
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 06:14 , Processed in 0.023644 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表