在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2701|回复: 8

[求助] vcs仿真hold为负数时DFF的Q端输出问题

[复制链接]
发表于 2020-11-18 11:17:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
image.png
按照vcs的上描述,hold为负值的检查波形如上图。而我仿真的setup是0.36,hold是-0.26,而数据跟时钟的相差0.118,
data是满足时序的,那为什么Q端的输出是0呢?不应该是1吗
image.jpg
发表于 2020-11-18 11:48:39 | 显示全部楼层
试一下VCS 命令行加上 +define+NTC
 楼主| 发表于 2020-11-18 15:12:52 | 显示全部楼层
本帖最后由 易生 于 2020-11-18 15:20 编辑


jake 发表于 2020-11-18 11:48
试一下VCS 命令行加上 +define+NTC

image.png
看了一下对应工艺的model,应该是缺少d_clk和d_d导致在dff的内部信号没有延时,但是还有一个问题,这个d_clk和clk、d_d和d之间的延时是怎么来的呢?vcs上写的是delayed version,不明白这个delayed version是什么东西
image.png
 楼主| 发表于 2020-11-18 15:13:54 | 显示全部楼层
本帖最后由 易生 于 2020-11-18 15:14 编辑

看了一下对应工艺的model,应该是缺少d_clk和d_d导致在dff的内部信号没有延时,但是还有一个问题,这个d_clk和clk、d_d和d之间的延时是怎么来的呢?vcs上写的是delayed version,不明白这个delayed version是什么东西
发表于 2020-11-18 21:40:04 | 显示全部楼层


易生 发表于 2020-11-18 01:13
看了一下对应工艺的model,应该是缺少d_clk和d_d导致在dff的内部信号没有延时,但是还有一个问题,这个d_cl ...


复杂一些的 Verilog model 会分两段,支持 negative timing check,不支持 negative check,用 `ifdef NTC 选择。 楼上的 Verilog model 没有用到,+define+NTC 也就不需要啦。 楼上这个模型 delayed clock, delayed data 都有,加到仿真的 waveform 里看一下时序。
估计 sdf annotation 有问题,数值没有正确反标,查一下仿真的 log,  查一下 annotation % 是否比较低。

发表于 2021-12-14 13:56:30 | 显示全部楼层
楼主,最后这个问题解决了吗?求教~
发表于 2022-1-24 17:37:44 | 显示全部楼层
遇到了同样的问题。楼主解决了吗?能否指导下,谢谢!
 楼主| 发表于 2022-1-24 19:24:19 | 显示全部楼层


Lu_ 发表于 2022-1-24 17:37
遇到了同样的问题。楼主解决了吗?能否指导下,谢谢!


是fab给的verilog model有问题
发表于 2022-1-24 19:36:42 | 显示全部楼层


易生 发表于 2022-1-24 19:24
是fab给的verilog model有问题


好吧。。。谢谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 10:56 , Processed in 0.036698 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表