在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6244|回复: 13

[求助] VCS后仿真Warning处理

[复制链接]
发表于 2020-9-5 17:08:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 dy19870425 于 2020-9-5 22:24 编辑

用VCS进行时序后仿真,出现以下Warning,请问各位大佬该如何处理啊?
Warning-[SDFCOM_NTCDTL] NTC Delay is larger than ModPath Delay
../../A_doctor/smic_55nm_lib/stdcell/SCC55NLL_HS_RVT_V2p0c/verilog/scc55nll_hs_rvt_neg.v, 27699
instance: tb_top.u_e203_soc_top.u_e203_subsys_top.u_e203_subsys_main.u_e203_subsys_perips.u_sirv_ppi_fab.u_sirv_gnrl_icb_buffer.u_sirv_gnrl_cmd_fifo.dp_gt0_fifo_rf_1__fifo_rf_dffl.clk_gate_qout_r_reg_2.latch
  Negative Timing Check delay of signal "posedge CK" is "11",
  which is larger than module path delay "10"

PS:在vcs编译的时候加了 +neg_tchk -negdelay选项

发表于 2020-9-5 19:56:26 | 显示全部楼层
+no_tchk_msg即可,这种告警没有关系。
 楼主| 发表于 2020-9-5 20:08:28 | 显示全部楼层
本帖最后由 dy19870425 于 2020-9-5 20:12 编辑


winsun001 发表于 2020-9-5 19:56
+no_tchk_msg即可,这种告警没有关系。


但是VCS会按照.v里的specify来进行时序检查,那么就有可能违例啊。

学校有.PNG
发表于 2020-9-18 15:39:01 | 显示全部楼层
请问你解决了没有?
 楼主| 发表于 2020-9-18 18:22:20 | 显示全部楼层


nqq03 发表于 2020-9-18 15:39
请问你解决了没有?


这种警告可忽略,没啥影响。
发表于 2020-9-21 17:20:59 | 显示全部楼层


dy19870425 发表于 2020-9-18 18:22
这种警告可忽略,没啥影响。


我这边仿真的时候,他使用的时序延时不是sdf里指定的内容,而是像他警告提示那样使用的延时,这个延时不是仿真模型的值,是出现违例单元的一个负延时值。同时出现一个没有报错的时序违例,波形上看起来完全错误,但是工具一点错都没有报。DFF的CK-Q的IOPATH值用的是RN-CK的负时序值。目前怀疑是后端网表问题。
 楼主| 发表于 2020-9-27 21:52:53 | 显示全部楼层


nqq03 发表于 2020-9-21 17:20
我这边仿真的时候,他使用的时序延时不是sdf里指定的内容,而是像他警告提示那样使用的延时,这个延时不 ...


请问最后解决了吗?
发表于 2020-9-28 13:07:57 | 显示全部楼层


dy19870425 发表于 2020-9-27 21:52
请问最后解决了吗?


目前的解决方法,是PT导sdf文件的时候,把负时序变成0。因为仿真工具没有办法把负时序当成0处理,导致各种时序不正常。
 楼主| 发表于 2020-9-29 14:20:53 | 显示全部楼层


nqq03 发表于 2020-9-28 13:07
目前的解决方法,是PT导sdf文件的时候,把负时序变成0。因为仿真工具没有办法把负时序当成0处理,导致各 ...


PT导sdf文件的时候? 您的意思是从PT导出sdf文件时,将负延时变为0.  ?  请问用的命令是什么啊?    谢谢!
发表于 2020-10-29 08:46:23 | 显示全部楼层
write_sdf -no_negative
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 14:31 , Processed in 0.030212 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表