在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3444|回复: 4

[求助] 锁存器比较器的噪声带宽增益怎么仿真

[复制链接]
发表于 2020-7-23 10:15:56 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
用在SAR ADC中的比较器,通常由预放大器+锁存器构成;可是应该怎么仿真整个比较器的增益,带宽,噪声这些参数;因为是有时钟在控制的,所以应该不可以直接用ac仿真来获得吧?应该怎么做呀?找了好久资料都没能找到,希望好心人解答一下
发表于 2020-7-23 13:39:39 | 显示全部楼层
论坛里搜dynamic comparator noise and metastability simulation techniques
发表于 2020-7-30 13:18:04 | 显示全部楼层
可以试试先用tran仿真, 然后选择最后一级锁存器动作前的时刻做ac分析, 可以得到从前置放大级的小信号增益和带宽.
发表于 2021-9-10 16:30:32 | 显示全部楼层


david_reg 发表于 2020-7-30 13:18
可以试试先用tran仿真, 然后选择最后一级锁存器动作前的时刻做ac分析, 可以得到从前置放大级的小信号增益和 ...


瞬态的仿真怎么加上AC跑一下那个时间节点?求大佬指点一下

发表于 2021-9-13 08:53:59 | 显示全部楼层


wyazmg 发表于 2021-9-10 16:30
瞬态的仿真怎么加上AC跑一下那个时间节点?求大佬指点一下


Virtuoso Spectre Circuit Simulator and Accelerated Parallel Simulator User Guide

Performing Small-Signal Analyses during a Transient Analysis
You can perform an AC and/or noise analysis at specific times during a transient analysis. The
Spectre circuit simulator stops the transient analysis at the specified times, saves operating
point information, and performs the AC and/or noise analysis.
This type of simulation is useful when you want to run an AC analysis after getting past
specific start-up behavior, or when there is more than one point along the transient run that
can be thought of as steady-state.
The syntax for performing a small-signal analysis during transient analysis is:
Name tran stop=stop actimes=time acnames=name
Where:
Name The name of the transient analysis
stop The time at which the transient analysis is to be put on hold.
actimes The time points at which the analyses specified by acnames are
performed.
acnames The names of the analyses to be performed at each time point in
the actimes array. Allowed child analyses are: ac, noise, sp,
stb, or xf.



您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 22:29 , Processed in 0.020570 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表