在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6140|回复: 11

[求助] UVM monitor监测问题

[复制链接]
发表于 2019-10-26 17:12:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问一下uvm中,monitor如何监测driver输出到dut的值?
由于monitor什么时候监测dut输出的值需要根据driver输入的值来判断
我试了interface.driverclock.xx ==1 报错
或者大家有什么方法吗?谢谢
发表于 2019-10-27 16:51:38 | 显示全部楼层
driver的输入值,其实就是DUT的输入,你为什么不能直接取DUT的输入呢?driver和monitor都能看到的,当然了,继承性就比较差了。
发表于 2019-10-28 14:36:00 | 显示全部楼层
一个完好的环境上,driver发数据的同事,同一个agent下 的monitor会检测 driver 发送的数据 ,以便送入 checker 作为发送的 数据 ;

interface.driverclock.xx ==1      两个== 是判断语句吧

点评

对的,我就是想判断当这个值等于1的时候开始监测输出的一个信号,因为它是0的时候和1的时候monitor监测的那个信号是不一样的  发表于 2019-10-30 18:29
发表于 2019-10-28 14:40:44 | 显示全部楼层
两点,1.monitor 有input monitor和output monitor两个。
2.monitor检测不需要依据driver的情况,它是比较客观的东西,我们检测不能报喜不报忧对不对。至于解析,你可以根据场景的不同有不同的解析,但是检测就是客观的。有啥就记录下来什么。
 楼主| 发表于 2019-10-30 18:27:55 | 显示全部楼层


gaurson 发表于 2019-10-27 16:51
driver的输入值,其实就是DUT的输入,你为什么不能直接取DUT的输入呢?driver和monitor都能看到的,当然了 ...


我试过用monitor读取interface上输出的值,但是vcs会报错。我现在在driver和monitor加了port来传过去了
 楼主| 发表于 2019-10-30 18:37:47 | 显示全部楼层


luyuan_0922 发表于 2019-10-28 14:40
两点,1.monitor 有input monitor和output monitor两个。
2.monitor检测不需要依据driver的情况,它是比较 ...


两者具体有什么区别吗?output monitor可以做到监测driver输出到dut的值吗?还有就是这个output monitor是在agent 的is_active等于UVM_ACTIVE下create的吗?谢谢您
发表于 2019-10-31 11:29:54 | 显示全部楼层
首先,应该定位下你这个报错的原因:
1.是interface没传进去
2.是普通的语法错误

其次,尽量不要把driver的数通过port给monitor
发表于 2019-11-1 14:49:14 | 显示全部楼层


猫猫猫 发表于 2019-10-30 18:27
我试过用monitor读取interface上输出的值,但是vcs会报错。我现在在driver和monitor加了port来传过去了
...


我的意思是直接拿DUT的信号,不要interface了。
发表于 2019-11-1 15:14:17 | 显示全部楼层


猫猫猫 发表于 2019-10-30 18:37
两者具体有什么区别吗?output monitor可以做到监测driver输出到dut的值吗?还有就是这个output monitor ...


output monitor 监测,打包送到scoreboard中去,input monitor监测输入 发送给coverage和scoreboard中去。一般monitor不管active还是passive都应该有的,至于input monitor,可能偷懒直接不写了。
发表于 2019-11-2 14:30:05 | 显示全部楼层
我的理解是,monitor input检测就是模拟dut的采样,协议规定dut怎么从interface采样的,input monitor 怎么采样。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 03:33 , Processed in 0.024217 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表