在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1803|回复: 4

[求助] 调用ATLPLL核报错

[复制链接]
发表于 2019-5-8 18:53:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
验证IC时用了quartus 13.0自带的ip核,ATLPLL,其他的参数都没改,关闭了复位信号和锁定信号,只设置了输出为56MHz,调用modelsim仿真报错:
# Error: Error loading design
#        Pausing macro execution
# MACRO ./pll_test_run_msim_rtl_verilog.do PAUSED at line 42


我后来单独写了一个简单的代码,只调用了pll核,依旧报错
module pll_test(
               osc_in,
                                        osc_clk
                                        );
                                       
    input       osc_in;
         output      osc_clk;
         
         pll        pll_inst (
        .inclk0 ( osc_in ),
        .c0 ( osc_clk )
        );
       
endmodule


//----------------------------------------

module test;

    reg osc_in;
         wire osc_clk;
         
         parameter osc_period = 500/100.0;
         
         always # osc_period  osc_in = ~osc_in;
         
         initial begin
         osc_in = 1'b0;
         #10000000;
         end
         
         
         pll_test u1 (
                     .osc_in ( osc_in ),
                                         .osc_clk( osc_clk)
                                         );
                                                                                                                           
endmodule


有大佬知道这是什么问题吗?我验证IC时修改了rom和ram,调用这两个核时能正常仿真,但是pll就是不行
 楼主| 发表于 2019-5-8 19:06:07 | 显示全部楼层
我的FPGA是Cyclone IV E,其中有个警告是
Critical Warning (332012): Synopsys Design Constraints File file not found: 'pll_test.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.

是不是我缺少了这个.sdc的原因?
发表于 2019-5-8 23:27:12 | 显示全部楼层


wq2020wdm 发表于 2019-5-8 19:06
我的FPGA是Cyclone IV E,其中有个警告是
Critical Warning (332012): Synopsys Design Constraints File fi ...


与sdc没关系,应该是FPGA的器件库你没有编译,需要将FPGA对应的这个库编译下;详细建议百度quartus ip 核仿真,编译后加入到工程一起仿真就应该可以找到这个宏单元
 楼主| 发表于 2019-5-9 09:23:22 | 显示全部楼层


woai2020 发表于 2019-5-8 23:27
与sdc没关系,应该是FPGA的器件库你没有编译,需要将FPGA对应的这个库编译下;详细建议百度quartus ip 核 ...


pll.qip在工程里,一起点的编译,难道还需要什么操作吗?
捕获.PNG
发表于 2019-5-9 10:39:51 | 显示全部楼层


wq2020wdm 发表于 2019-5-9 09:23
pll.qip在工程里,一起点的编译,难道还需要什么操作吗?


这样不行的,qip文件quartus认你pll,modelsim不认你的PLL,不想编译库也可以直接通过quartus启动modelsim,具体设置如下:

Snipaste_2019-05-09_10-37-51.png

然后

Snipaste_2019-05-09_10-39-28.png
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 06:11 , Processed in 0.023010 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表