在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: cfh123

[求助] 请教,关于分频时钟综合时出现A non-unate path in clock network for clock

[复制链接]
 楼主| 发表于 2019-4-8 10:13:38 | 显示全部楼层


mandrake 发表于 2019-4-7 22:11
综合对这个实际不是很关心
如果有clock mux,clock 不知道trace那条路径,所以会报这个waring,
通过设置 c ...


好的,谢谢您,那我可以不用管这个non-unate路径了,但我还是想弄明白怎样分频才能不出现这个non-unate呢(被这个困扰好多天了)?我看了一下网表,我的时钟寄存器的D端输入有一个XOR门,并没有使用mux,所以在这种情况下,好像无法使用set_case_analsis,然后我发现好像使用计数器的方法进行分频,不管怎样D端都会有一个XOR门或者OAI2BB2X1之类的门,导致出现non-unate.

就比如如下实现128分频的的RTL代码:
always @(posedge rf_clk or negedge rst_n)
  if(!rst_n)
    counter <= 6'd0;
  else
    counter <= counter + 1'b1;

always @(posedge rf_clk or negedge rst_n)
  if(!rst_n)
    clk <= 0;
  else if(counter == 6'd63)
    clk <= ~clk;

综合出来也会出现一个XOR门,会报non-unate的路径
对此,您有什么好的方法吗?

 楼主| 发表于 2019-4-8 10:28:54 | 显示全部楼层


huangjiajun1213 发表于 2019-4-8 09:59
级联分频的方式应该就不会有这个问题,二分频再二分频,你目前这种方式是counter分频,但是级联分频会导 ...


嗯嗯好的,非常感谢,终于弄明白了,那一般做项目情况下,遇到分频信号,您们都是使用级联还是技术法呢?哪一种好一点?原谅我这个打破砂锅问到底的好奇心......

关于第二个问题,您说的master clock的source端就是指的master clock 的create clock的点吧?
比如create_clock rf_clk [get_ports RF_CLK],那么rf_clk的分频时钟的source就可以定义到create_generated_clock -name clk -source [get_ports RF_CLK] -divide_by 128 [get_pins clk_reg/Q]。  
如果create_clock  rf_clk  [get_pins RF_CLK_reg/Q],那么rf_clk的分频时钟的source就可以定义到-source  [get_pins RF_CLK_reg/Q].是这样吗?


有些人说,source可以定义到分频时钟寄存器的CLK端 -source  [get_pins inst_dec_top/inst_clk_div/clk_reg/CLK],但我试了试,dc会提示找不到目标点
 楼主| 发表于 2019-4-8 10:36:40 | 显示全部楼层


huangjiajun1213 发表于 2019-4-8 09:59
级联分频的方式应该就不会有这个问题,二分频再二分频,你目前这种方式是counter分频,但是级联分频会导 ...


还有就是create_generated_clock指令中,-master_clock这个参数一般要加吗?我看有些人加,有些书上却没有加......
发表于 2019-4-8 20:30:48 | 显示全部楼层


cfh123 发表于 2019-4-8 10:28
嗯嗯好的,非常感谢,终于弄明白了,那一般做项目情况下,遇到分频信号,您们都是使用级联还是技术法呢? ...


1、建议使用counter分频,时钟树更短。是不是寄存器的时钟pin名字不是CLK
2、source点如果有多个时钟经过的时候,需要定义master clock,不然generated clock分不清源时钟是哪个
 楼主| 发表于 2019-4-8 21:16:38 | 显示全部楼层


huangjiajun1213 发表于 2019-4-8 20:30
1、建议使用counter分频,时钟树更短。是不是寄存器的时钟pin名字不是CLK
2、source点如果有多个时钟经过 ...


嗯嗯好的,寄存器的时钟pin名字有些是CK,有些是CKN,我先create到port端好了。
发表于 2019-4-10 10:03:53 | 显示全部楼层


cfh123 发表于 2019-4-8 21:16
嗯嗯好的,寄存器的时钟pin名字有些是CK,有些是CKN,我先create到port端好了。 ...


请问你是在校学生吗?我们公司现在后端招人,不知道有没有兴趣面试一下!
 楼主| 发表于 2019-4-10 16:16:46 | 显示全部楼层


huangjiajun1213 发表于 2019-4-10 10:03
请问你是在校学生吗?我们公司现在后端招人,不知道有没有兴趣面试一下!
...


嗯嗯,是研二学生,目前在做一个要流片的项目。谢谢您解答我这么多疑惑,方便的话加个微信私聊下
发表于 2019-4-10 17:59:59 | 显示全部楼层
我的微信号:hjj-1213
发表于 2019-4-10 18:01:14 | 显示全部楼层


cfh123 发表于 2019-4-10 16:16
嗯嗯,是研二学生,目前在做一个要流片的项目。谢谢您解答我这么多疑惑,方便的话加个微信私聊下
...


我的微信号:hjj-1213
发表于 2019-4-10 18:02:14 | 显示全部楼层


cfh123 发表于 2019-4-10 16:16
嗯嗯,是研二学生,目前在做一个要流片的项目。谢谢您解答我这么多疑惑,方便的话加个微信私聊下
...


hjj-1213
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-14 10:55 , Processed in 0.022498 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表