在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2453|回复: 4

[求助] clock gating setup violation 相关问题

[复制链接]
发表于 2018-11-21 15:48:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教大家一个有意思的问题。

先说说遇到什么问题了:

      一个 clock gating cell 的 CEN pin 相对于其 CLK pin 有setup violation。这个很常见。

我fix 这个 setup violation 的思路是:
      在 CLK pin 前面加 CK cell,就是把 capture clock latency 变长;前提是这个clock gating cell 后面有 setup margin。

这样做遇到什么问题:
      在我 check 这个cell 后面是否有 setup margin 的时候,用: report_timing -from clock_gating_cell/CLK. 结果显示是      
      unconstrainted path. 所以不能用这个办法来检查是否有setup margin。

那我请教大家,大家是如何check 是否有 setup margin?以及如何 fix this clock gating setup violation?
发表于 2018-11-21 17:25:30 | 显示全部楼层
通过clock usefull skew修timing的时候,是需要分析clock tree上面动到的这个点后面所有fanout到的sink的前后setup/hold margin的,你的例子里面动了gating,就需要分析gating本身+所有fanout sink点的setup/hold
report_timing只能用来报datapath,你需要用all_fanout -from gating/Q -endpoints_only找到所有sink点
发表于 2018-11-22 11:12:47 | 显示全部楼层
gating cell PIN是不是sink点,意味着不是完整的path啊,report timing怎么会报呢?
一般处理icg问题是设float pin。减少latch path latency。
发表于 2018-11-22 13:54:52 | 显示全部楼层
回复 2# weip218


   请问师哥,为什么report_timing -from clock_gating_cell/CLK不行?就是从ICG的ck端到sink的D端的timing path啊report_timing只能报出datapath指的是?
发表于 2018-11-22 19:30:51 | 显示全部楼层
回复 4# xingyun666666

-from ICG/CP 的endpoint是flop的CP pin, 不是D pin,report_timing不会报出来。2楼说得对,想要late ICG的CP pin,需要查看其fanout的flop的CP pin有无margin。另外需注意的是,ICG CP pin的fanout有可能是另一个ICG的CP pin。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-26 07:39 , Processed in 0.057362 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表