在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4829|回复: 13

[原创] 在cts阶段减小clock delay(clock tree)

[复制链接]
发表于 2018-10-26 15:19:56 | 显示全部楼层 |阅读模式
100资产
如图,在cts之后,我用report clock tree(clock delay)和report clock timing(clock latency)报的同一个clock,但是两条路径,请问如何减小这个reportclocktree报出的longestpath? TIM图片20181026101911.png

发表于 2018-10-29 09:05:15 | 显示全部楼层
没有看太懂。
report_clock_tree报出的最长路径是正确的吗?是什么导致路径长?clock上的逻辑,如分频电路,ICG等,还是CTS插入的buffer tree?
 楼主| 发表于 2018-10-29 10:13:29 | 显示全部楼层
TIM图片20181029101826.jpg 回复 2# helanshan_icer


    TIM图片20181029101838.png 这是部分图,此clock path 是最长路径,逻辑单元较多,每个逻辑单元之间都有插buf。
发表于 2018-10-29 11:58:18 | 显示全部楼层
select0_ss_reg CK-Q 被识别为clock tree的一部分,应该是这样吗?如果不是的话,有可能是constraint不完善导致时钟树识别有问题
 楼主| 发表于 2018-10-29 12:24:31 | 显示全部楼层
回复 4# helanshan_icer


   这个clock tree中包含这个cell。
发表于 2018-10-30 09:46:12 | 显示全部楼层
select0_ss_reg CK端 需要设一个 through pin的属性(set_clock_tee_exception) 另外控制下clock transion 看到有400多P
 楼主| 发表于 2018-10-30 10:34:44 | 显示全部楼层
回复 6# apollo6

此reg的CK端工具自动设置的nonstop_pin
发表于 2018-10-30 10:49:22 | 显示全部楼层
需要在place后, 察看各单元的具体location , 看有没有绕弯。。。
发表于 2018-10-30 16:43:51 | 显示全部楼层
回复 3# 糖糖123


    你有加derate值吧, report_clock_tree好像是没derate的, report_clock_timing是带derate的。
    你要做短的话还是要看情况的吧,如果不影响setup,长点也没关系。
发表于 2018-11-1 09:33:59 | 显示全部楼层
试试size up路径中的各个buffer,应该可以降低各个cell的delay。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 14:48 , Processed in 0.028790 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表