在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2512|回复: 5

[求助] 特权同学16bit乘法器问题

[复制链接]
发表于 2018-8-13 13:28:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最关键的逻辑这部分,不是很理解,希望大家帮忙解释:

不知为何右移,并且这个拼接中,1'b0是一直放在最高位?

always @(posedge clk or negedge rst_n) begin

if(!rst_n) begin

areg <= 16'h0000;

breg <= 16'h0000;

yout_r <= 32'h00000000;

end

else if(start) begin
//启动运算

if(i == 5'd0) begin
//锁存乘数、被乘数

areg <= ain;

breg <= bin;

end

else if(i > 5'd0 && i < 5'd16) begin

if(areg[i-1]) yout_r = {1'b0,yout[30:15]+breg,yout_r[14:1]};
//累加并移位

else yout_r <= yout_r>>1;
//移位不累加

end

else if(i == 5'd16 && areg[15]) yout_r[31:16] <= yout_r[31:16]+breg;
//累加不移位

end
end



另,比较大的数值相乘的时候,是错误的,如下图仿真




附上特权同学代码
module mux16(

clk,rst_n,

start,ain,bin,yout,done

);


input clk;
//芯片的时钟信号。
input rst_n;
//低电平复位、清零信号。定义为0表示芯片复位;定义为1表示复位信号无效。
input start;
//芯片使能信号。定义为0表示信号无效;定义为1表示芯片读入输入管脚得乘数和被乘数,并将乘积复位清零。
input[15:0] ain;
//输入a(被乘数),其数据位宽为16bit.
input[15:0] bin;
//输入b(乘数),其数据位宽为16bit.
output[31:0] yout;
//乘积输出,其数据位宽为32bit.
output done;
//芯片输出标志信号。定义为1表示乘法运算完成.

reg[15:0] areg;
//乘数a寄存器
reg[15:0] breg;
//乘数b寄存器
reg[31:0] yout_r;
//乘积寄存器
reg done_r;
reg[4:0] i;
//移位次数寄存器


//------------------------------------------------
//数据位控制
always @(posedge clk or negedge rst_n)

if(!rst_n) i <= 5'd0;

else if(start && i < 5'd17) i <= i+1'b1;

else if(!start) i <= 5'd0;

//------------------------------------------------
//乘法运算完成标志信号产生
always @(posedge clk or negedge rst_n)

if(!rst_n) done_r <= 1'b0;

else if(i == 5'd16) done_r <= 1'b1;
//乘法运算完成标志

else if(i == 5'd17) done_r <= 1'b0;
//标志位撤销

assign done = done_r;

//------------------------------------------------
//专用寄存器进行移位累加运算
always @(posedge clk or negedge rst_n) begin

if(!rst_n) begin

areg <= 16'h0000;

breg <= 16'h0000;

yout_r <= 32'h00000000;

end

else if(start) begin
//启动运算

if(i == 5'd0) begin
//锁存乘数、被乘数

areg <= ain;

breg <= bin;

end

else if(i > 5'd0 && i < 5'd16) begin

if(areg[i-1]) yout_r = {1'b0,yout[30:15]+breg,yout_r[14:1]};
//累加并移位

else yout_r <= yout_r>>1;
//移位不累加

end

else if(i == 5'd16 && areg[15]) yout_r[31:16] <= yout_r[31:16]+breg;
//累加不移位

end
end

assign yout = yout_r;

endmodule
 楼主| 发表于 2018-8-13 14:15:09 | 显示全部楼层
回复 1# shishu8385


   刚才又验算了一遍,发现特权同学的进位那块错了,发现用4bit1111进行验算后是正确的了。
和下面这个同学的类似。

http://www.360doc.com/content/11/1011/15/7877870_155179486.shtml



微信图片_20180813141932.jpg
发表于 2018-8-14 16:40:08 | 显示全部楼层
回复 1# shishu8385


   进位不对!
发表于 2018-8-14 23:09:18 | 显示全部楼层
16*16乘法器的原理明白的话,这个问题很容易理解,移位后最高位放0,是为了i=16的情况准备的
 楼主| 发表于 2018-8-17 08:33:41 | 显示全部楼层
回复 3# fhy420462303


   是的 特权同学忽略了进位,{}这个不会自动进位的,只会保留当前位宽
发表于 2018-12-26 09:43:31 | 显示全部楼层
特权写的书上经常有很多小错误,这个乘法器我叫学生自己写的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 15:53 , Processed in 0.024005 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表