在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5722|回复: 13

[原创] 版主大人,新人小白问ICC问题【已经解决】

[复制链接]
发表于 2018-7-3 16:13:40 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 月落小青青 于 2018-7-5 15:00 编辑

新人小白,有几个问题想问下,版主大人,我是在搞不好了啊1 :  pad   filler  总是填不满怎么办,我用的是  有这几种:50 20 10 5 2 1 01 001 ,但是总显示一大堆“can not find filler cells for                  bottom gap ( 坐标)   (坐标)   ”   ;
2: 我的内核是1.2v ,io pad  分为前驱和后驱分别为1.2 和2.5 。我该怎么给IO 和core  供电?我看了一点资料,好像是说,设置 后驱pad  PVDD2    ,   前驱pad PVDD1   , 然后2.5v自动给pad ring 供电,然后 把前驱pad 连到core ;是这样吗?
发表于 2018-7-3 17:44:31 | 显示全部楼层
不是版主,强答一波。
1.分享一些个人经验:pad filler分不同width,你在摆放pad cell时可以设置两个相邻cell的间距,使得其满足pad filler width的线性组合。比如说pad filler最小width 001=0.001um,那如果设计中某两个pad cell间距为10.0005um,那肯定填不满。PAD间距设置命令可以参考:set_pad_physical_constraints -pad_name "PAD" -side a -order b-min_left_iospace c。或者你可以严格控制floorplan时core的尺寸。
2.你所谓的前驱1.2就是core的power ring,而2.5时pad ring。顾名思义,pad ring也是封闭的环形,而IO cell之间是有间距的,所以需要填充pad filler。pad filler的一个作用就是形成pad ring。关于pad ring 你在gds版图上可以清晰的看到他的结构。所以pad filler要“填满”。

其实这些问题论坛上都有前辈讲过,仔细翻翻不难找到。
 楼主| 发表于 2018-7-4 09:00:09 | 显示全部楼层
回复 2# 蔡斗

谢谢了。1:也就是说加上了pad  和pad  fill  之后, pad ring和 core ring   就在pad  内部形成? 那我在core 四周加的电源环是啥?           2:我下面要把,要把core  环连到pad ,是手动吗?手动我都不会,或者说 有什么要求吗
   脑子太笨,还找不到
 楼主| 发表于 2018-7-4 09:08:59 | 显示全部楼层
回复 2# 蔡斗


   

就是这一步,也不知道哪一个脚本命令

就是这一步,也不知道哪一个脚本命令
 楼主| 发表于 2018-7-4 09:13:43 | 显示全部楼层
总不能随便粘贴一个strap ,然后糊上。我看power  strap 和power    ring  连接处有好多方块孔的。
发表于 2018-7-4 09:30:33 | 显示全部楼层
1.首先加了pad 和pad filler后只会形成pad ring。core ring是需要你自己加的,也就是VDD、VSS这类电源环。
2.去了解下preroute_instances 命令
 楼主| 发表于 2018-7-4 11:01:39 | 显示全部楼层
回复 6# 蔡斗

谢谢了,不厌其烦的回答我的问题
 楼主| 发表于 2018-7-4 14:17:00 | 显示全部楼层
回复 2# 蔡斗


  后面的那个c  是float , 我查不到怎么表示浮点数?
发表于 2018-7-4 14:23:48 | 显示全部楼层
学习了,多谢!
 楼主| 发表于 2018-7-4 16:39:56 | 显示全部楼层
回复 7# 月落小青青


   好了好了。手动修改坐标啊。。。。一把泪啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-27 13:14 , Processed in 0.036453 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表